Sunday, September 30, 2018

Warm welcome to India as the biggest audience of the BALD Engineering ALD Blog!

It seems that ALD is becoming a field of interest in India. Alternatively the rumor of my fantastic chicken curry and Saag Paneer has traveled further. This month India moved in to 1st position taking over the spot from USA who has held its since the start of this blog. Congratulations India! Also interesting to see that Bangladesh made it to spot 10 for the first time.

Expect more blogs on ALD in India in the near future!

Friday, September 28, 2018

SRI Intellectual form China buys Beneq from Finland

[beneq.com] Beneq® Corporation, a leading supplier of Atomic Layer Deposition (ALD) equipment and thin film coating services, and the world’s premier manufacturer of thin film electroluminescent displays, today announced a share purchase agreement about the acquisition of all Beneq Oy shares. The agreement will enable faster expansion in Beneq’s key markets and additional investments in industrial ALD thin film solutions and transparent displays.

The buyer, Qingdao Sifang SRI Intellectual Technology Co. Ltd. (SRI Intellectual), is a Chinese joint venture whose shareholders focus on rail vehicle systems and other high technology solutions.
 
 
According to the share purchase agreement, the buyer acquires all shares of Beneq Corporation (Beneq Oy) from current shareholders. The parties have agreed not to disclose the transaction price.
Accelerating the execution of Beneq’s strategy
The new investors are committed to accelerating Beneq’s strategic transformation towards industrial ALD equipment and customized and in-glass laminated transparent Lumineq displays. The agreement will allow expansion of Beneq’s sales and marketing activities, and improvements in production in Beneq’s Espoo factory, the Home of ALD.

“We are very pleased to include Beneq in our investment portfolio. Beneq is exactly the kind of high technology company with growth potential we focus on. The acquisition establishes a bridge between SRI Intellectual and Beneq that will result in a win-win situation of mutual benefits in global business development,” commented Kong Jun, Chairman of SRI Intellectual and Chairman of Beneq.

No changes in Beneq’s operations or customer projects

Beneq will continue as an independent Finnish company under the new ownership, and all existing customer projects, deliveries and agreements with customers, as well as production in Beneq’s Espoo factory will continue according to the current Beneq business plans.

“We are extremely happy to get the support of new owners that have a solid financial background and willingness to invest in the future of Beneq. The background of the investors will also give us access to new customers in interesting business growth areas, such as transparent displays and ALD solutions for the semiconductor industry,” said founder and board member Sampo Ahonen from Beneq.

Hjulbusarna at The Rally of Mälaren 22nd September


Thursday, September 27, 2018

Thermal ALE of HfO2 using HF for fluorination and TiCl4 for ligand-exchange

Until now thermal atomic layer etching (ALE) have been established by fluorination and ligand-exchange reactions using HF as fluorination reactant and Sn(acac)2, Al(CH3)3, AlCl(CH3)2, and SiCl4. Here is a new study where TiCl4 is employed as a new metal chloride reactant for ligand-exchange. 
 

In the study thermal HfO2 ALE using HF and TiCl4 as the reactants is investigated by in situ quartz crystal microbalance (QCM) measurements from 200 to 300 °C. 
 
Further, an investigation into the selectivity of thermal ALE using HF and TiCl4 revealed that HfO2 and ZrO2 were etched by HF and TiCl4 but Al2O3, SiO2, Si3N4, and TiN were not etched. This is an important finding since all of those materials are typically used in Logic High-k Metal Gate stacks and various high-k capacitor applications.
 
Please find all the details in this Open Access publication by Younghee Lee and Steven M. George from the famous ALD/ALE lab at University of Colorado, in Boulder Colorado, USA.

Thermal atomic layer etching of HfO2 using HF for fluorination and TiCl4 for ligand-exchange

Younghee Lee and Steven M. George
Journal of Vacuum Science & Technology A 36, 061504 (2018); https://doi.org/10.1116/1.5045130

10 Criteria for Gauging the Long-Term Compatibility of New Suppliers of Metalorganics

[Guest Article by Roman Rytov, CEO of EREZTECH] Even in the specifications-driven chemical commodity markets, price cannot be the sole deciding factor for the discriminating specifier of metal-organics: the stakes are simply too high.

Of course, price is a necessary qualifier. But the specifier is entering into a commitment that typically goes on for years — and decisions made early on become more tightly “locked in” at each development milestone.

This article is to help you enter into — with your eyes wide open — a highly productive relationship with a new chemical supplier. Here are 10 steps to qualify and access your new organometallics supply partner:

1. Increasingly stringent purity standards

Needless to say, your purity specifications must be met — now and forever — no matter what. In the high purity fine and specialty chemicals markets, purity can be measured out to 3, 4, or even 5 decimal places. What are their metrology capabilities?

Ask how you new supplier handles requests for higher purity standards than they’ve previously delivered. And what programs they have in place to continuously manufacture to those new, higher standards.

2. How to quantify their level of service?

Everyone says they have great service. So here are some pointed questions to ask a potential chemical supplier:
  1. How quickly can I expect your sales reps to respond to my inquiries?
  2. How knowledgeable is the sales staff about the R&D and production development process?
  3. How, specifically, will your company move from the R&D stage of the custom synthesis of metal-organics to the milestones for the required production quantities?
  4. How will any questions I have regarding compliance, accounting, packaging, and shipping be resolved?
All aspects of the business should be considered when creating an impression of the supplier: from the very first phone call to each subsequent interaction.

Wednesday, September 26, 2018

Picosun-SINANO collaboration yields excellent TiN process

ESPOO, Finland, and SUZHOU, China, 26th September 2018 – Picosun Group, a leading, global provider of ALD (Atomic Layer Deposition) thin film coating solutions, and Suzhou Institute of Nano-Tech and Nano-Bionics (SINANO) report excellent quality titanium nitride (TiN) deposited with Picosun’s plasma-ALD technology.

In microelectronic component manufacturing, the ohmic contact between metallic and semiconducting material layers is critical regarding the component functionality and lifetime. Typically, pure metals such as titanium have been employed as the metallic material, but they have certain drawbacks which is why titanium nitride has been proposed as the substitute. TiN is metallic as well, and its conductivity and thermal stability are better than those of pure titanium metal, but to obtain high quality TiN films, the manufacturing method and conditions are critical.

This is where Picosun’s remote plasma ALD (RPEALD) technology shows its strength. In Picosun’s approach, the plasma source is located on a high enough distance from the substrate, so that instead of aggressive ion bombardment, highly reactive radicals react at the substrate surface. This allows low process temperatures without thermal stress or physical ion damage to the substrate and enables deposition of also conductive materials without the risk of short-circuiting, or gas back-diffusion into the plasma source. The right selection of precursor chemicals and plasma gases guarantees high purity TiN films with very low oxygen content and work function, low sheet resistivity, exact stoichiometry, and high uniformity (*). Furthermore, the process window is wide regarding the process parameters and temperature, enabling the process to be introduced on a large variety of substrate materials. 
 
The Suzhou Institute of Nano-tech and Nano-bionics (SINANO) was jointly founded in March 2006 by the Chinese Academy of Sciences (CAS), the government of Jiangsu Province, the government of Suzhou City and the Suzhou Industrial Park. The institute occupies over 60,000 square meters of land and has 150,000 square meters of lab and office space.(LINK)

“We are happy to report these excellent TiN results to our customers in micro- and optoelectronic industries. TiN is a central material in their applications, especially in components manufactured on GaN and on small, up to 200 mm diameter Si wafers. Picosun is specially dedicated to providing cost-efficient, turn-key production solutions for up to 200 mm wafer markets. We would like to welcome you all to meet us at the 4th China ALD conference which takes place 14-17 October 2018 in the city of Shenzhen, and where we are again the platinum sponsors, to discuss further how our ALD technology could improve your products and enable new breakthroughs in your industry,” say Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd. and Mr. Jurgen Yeh, CTO of Picosun China Co. Ltd.

“It is always a pleasure to work with Picosun. The quality of their ALD equipment is outstanding and enables us to develop cutting-edge ALD processes to be introduced to our other collaboration partners in the industries. An immensely important benefit in using PICOSUN™ ALD tools is also the smooth scalability of the processes to production scale, as all PICOSUN™ ALD systems, from R&D units to full-scale industrial production platforms share the same core design and operating principles,” continues Prof. Sunan Ding from the Nano-X lab of SINANO.

SINANO and Picosun have been collaborating since the beginning of 2017. The goal of the collaboration is to develop advanced micro- and optoelectronic components such as HEMTs (high-electron mobility transistors) and laser diodes, and lithium ion batteries utilizing ALD in their joint lab in Suzhou, one of China’s most prominent hubs for electronics and other high-tech products manufacturing. The lab is equipped with several state-of-the-art PICOSUN™ ALD systems. The collaboration is further supported by Picosun’s local subsidiary, Picosun China Co. Ltd. also located in Suzhou.

Tuesday, September 25, 2018

Caltech Convert to Hollow Cathode for Better Quality Nitride Layers

[Meaglow.com] Researchers at the California Institute of Technology (Caltech) have joined a growing number of institutes that have upgraded their plasma assisted ALD system with a hollow cathode plasma source. Meaglow’s plasma sources are well known for improving nitride layers by lowering oxygen content.

One such upgrade enabled low temperature GaN thin film transistors to be deposited at 200 degrees C (see the related Applied Physics Letter). While more recent work has allowed the demonstration of superior silicon nitride layers grown for device purposes (see our earlier article). 

 
Meaglow is committed to enabling the next generation of materials development by providing deposition solutions that meet the stringent requirements of today’s researchers. See our product lines at www.meaglow.com.

Wednesday, September 19, 2018

On the Existence of Nonfunctional Materials



My good friend Prof. Pedersen has just published an excellent editorial in Chemistry of Materials and it starts like this:

How many times did you see the phrase “functional materials”, or some slight modification of it, in the section of the scientific literature that you browsed last week? Searching for “functional AND materials” on Web of Science renders over 112,000 hits, 13,900 of which were published during 2017 and so far, 6300 published in 2018. Before 1990 there are 1–10 hits per year, and in 1990 there were 63, which then increased to 384 hits in 1991. Since then the trend points to a steep increase in scientific output on “functional materials” (Figure 1).

“Functional materials”, however, is a nonfunctional phrase: I challenge you to find a single material without any function at all!  

Full open access article: LINK

SAVE the DATE for the 2019 CMC Conference April 25-26 in Malta/Albany, New York

SAVE the DATE for the 2019 CMC Conference, scheduled for April 25-26 in the Malta/Albany, New York area. Driven by the needs of the Critical Materials Council of semiconductor fabricators and associates (CMC), after three successful years, the CMC Conference is proven as one of the best forums for discussing actionable information relating to semiconductor fab materials. 
 

The CMC Conference is where business meets technology. Find out which materials markets are growing fastest, the drivers behind those materials and which are being constrained or limited by local or international supply-chains.
Click here to submit an abstract or get more information.

Interested in SPONSORSHIP, please contact Meena Sher by clicking here: Sponsorship Info Request

For an example agenda, from 2018, please click here.

Tuesday, September 18, 2018

IITC-MAM2019 First Call for Papers

The 22nd edition of the International Interconnect Technology Conference (IITC) is sponsored by the IEEE Electron Devices Society as the premier conference for interconnect technology. The 28th edition of the Materials for Advanced Metallization workshop (MAM) is devoted to research on materials properties and interactions of interconnect and silicide materials. These two conferences will be combined again in 2019 for the 3rd joint IITC-MAM conference and feature compelling invited talks and be proceeded by a materials workshop. 
 

Authors are encouraged to submit their original work describing innovative research and development in the critically important felid of on-chip interconnects. The conference seeks papers on all aspects of BEOL/MOL interconnects and metallization, including design, unit process, integration and reliability.

APPLICATION OF INTEREST

  • Advanced interconnects with low-k dielectrics
  • Beyond Cu interconnect, optical, wireless, and carbon
  • Contacts to MOS devices: Silicide, III-V, 2D materials
  • BEOL elements for Memory: 3D NAND, CBRAM, PCRAM, ReRAM, MRAM, DRAM
  • Advanced packaging and 3D/2.5D integration: WtW/CtW bonding, Interposer, TSV, CPI, Fan-Out techniques, Integrated Fan-Out
  • Smart technologies for interconnects: AI/neuromorphic, machine learning, big data.
TOPICS OF INTEREST
  • Process integration, advanced patterning for MOL/BEOL
  • Materials and Unit Processes: dielectrics, metals, barriers, wet, CMP, PVD, CVD, ALD, selective deposition/SAMs
  • Reliability and Failure analysis, techniques and methods
  • Advanced characterization: material analysis, analytical techniques, process modelling, defectivity, EPE
  • System scaling: design-technology co-optimization, embedded functionalities (memory, MEMS, Sensors...) Novel Systems/form factors: flexible, wearables, etc.
More information: LINK

Monday, September 17, 2018

Podcast - Introduction to ALD

In this episode me and Nicola (he is finally back) are introducing and discussing Atomic Layer Deposition, its use in the industry as well as a comparison with other technologies.

This introduction episode is the start of a multi episode series about ALD with serval experts from academia and industry. The different experts will delve deeper in several sides of the technology to cover it as much as possible.

The BALD Engineering Blog-Mobil : Sweden-Germany Roadtrip

You may or may not wonder what company car BALD Engineering AB is using for covering the latest news and events in ALD technology. Until recently it was the free access to a rather embarassing 1.2 L Ford Fusion that did not really fit to the image of the company or the ALD News Blog. That is why BALD Engineering has acquired a real car - a 1988 Volvo 244 DL from one of the co-drivers from the Swedish Volvo Race Team Hjulbusarna Motorsport, which BALD Engineering is sponsoring. Below you find some nice pictures from the recent Road trip Sweden-Germany and more will follow.

OKQ8 Linköping, Sweden: The first time filling up the tank after a 400 km cruise on the Swedish Autobahn resulting in a modest 11 L/100 km fuel consumption. This gas station was very close to the Aircraft museum where the conference dinner for EuroCVD/Baltic ALD 2017 was held. Further south when hitting the German Autobahn the fuel consumption naturally went up to 12-13 L/100 km.
Oxelösund, Sweden: The very proud previous owner and co-driver at Hjulbusarna Motorsport, Stefan Gustafsson handing over his beautiful, well maintained and powerful Volvo for new adventures.

Hjulbusarna Racing Head Quarter, Stigtomata, Sweden: Meeting up with EuroCVD/Baltic ALD Chair Prof. Pedersen who scouted the Red Volvo and who is also co-driver at Hjulbusarna Motorsport.

Prof. Pedersens Garage, Stigtomata, Sweden: Decorated with the BALD Engineering Logo.
Prof. Pedersens Garage, Stigtomata, Sweden: Detailed source inspection headed by one of the junior engineers and a team of little helpers.
BALD Engineering Headqaurter, Kopparmora, Sweden: Proud owner posing with the new company car.
Gedser, Denmark: lining up for the ferry to Germany


Veeco GEN10 Automated MBE Cluster System Wins Max Planck Institute Tender, Supporting Research of Oxide-Nitride Layer Structures

Prestigious Research Institute Cited Veeco’s Expertise in MBE and the High Reliability and Customization of the GEN10™ as Key Factors in its Decision

[Veeco, LINK] PLAINVIEW, N.Y., August 14, 2018—Veeco Instruments Inc. (NASDAQ: VECO) today announced that a dual chamber GEN10™ automated molecular beam epitaxy (MBE) cluster system won the tender offer by the Max Planck Institute of Microstructure Physics, Halle (Saale), Germany (MPI-MSP) to support world-class research on complex oxides. Demand for oxide-nitride layer structures has increased due to their enormous potential in enabling next-generation energy-efficient nano-devices and advanced data storage. The department of Nano-systems from Ions, Spins and Electrons (NISE) at the MPI-MSP will leverage Veeco’s MBE technology to expand research and develop innovative applications. 

Veeco's GENxplor R&D MBE System (Veeco.com)
“Our team is highly interested in exploring the properties of atomically engineered oxide-nitride layer structures especially because of their extraordinary properties but also for their potential in paving the way to novel energy-efficient nano-devices,” said Stuart Parkin, Director of the NISE Department at the MPI-MSP and Alexander von Humboldt Professor, Martin Luther University Halle-Wittenberg, Halle. “Veeco’s reputation and expertise in MBE combined with the GEN10’s high reliability, throughput, customization and automation capabilities will help support our research into novel materials.”

This win at MPI marks the first time Veeco has provided a fully integrated solution for a concentrated ozone source. The GEN10 allows for up to three configurable, material-specific growth modules, enabling high system utilization and allowing multiple researchers use the system at the same time to perform unattended growth. By expanding its reach in the R&D sector worldwide, Veeco is leading the way in helping grow complex oxide structures.

“As our MBE systems continue to expand their footprint in the global R&D space, we are honored that Veeco’s GEN10 MBE system was selected by the highly respected Max Planck Institute of Microstructure Physics in Halle,” noted Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We are pleased with the confidence Dr. Parkin and his team placed in our MBE expertise and we look forward to supporting the MPI-MSP as it continues to lead R&D exploration and applications for complex oxides.”

Wednesday, September 12, 2018

Thermal Atomic Layer Etching of copper by University of Illinois at Urbana-Champaign

Copper is a wonder metal used in moth integrated circuits but is very difficult to etch by a dry process. That is why copper is typically removed by wet chemistry or rather brutal CMP processes. So now quite fantastic news for all BEOL people who have had all kinds of problem etching copper or for those FEOL people who absolutely do not like copper - now there is a way to thermally etch copper as presented in the publication below by scientists form University of Illinois at Urbana-Champaign in the United States.

Thank you Prof. Pedersen for sharing this article on Twitter using the hashtag #ALEtch (#ALDep for ALD).

According to the abstract, the published ALE method of copper relies on:
  • a cyclic exposure to an oxidant and hexafluoroacetylacetone (Hhfac) at 275°C
  • exposure of a copper surface to molecular oxygen, O2, a weak oxidant, forms a ∼0.3 nm thick layer of Cu2O, which is removed in a subsequent step by exposure to Hhfac. 
  • the process has high selectivity and does not attack dielectrics such as SiO2 or SiNx 
  • the surface reactions are self-limiting
  • the roughness of the copper surface increases slowly over successive etch cycles 
Promising is also that rhermochemical and bulk etching data indicate that the approach should also work for other metals.

Thermal Atomic Layer Etching of Copper by Sequential Steps Involving Oxidation and Exposure to Hexafluoroacetylacetone

doi: 10.1149/2.0211809jss ECS J. Solid State Sci. Technol. 2018 volume 7, issue 9, P491-P495

Screendump from ECS Journal of Solid State Science and Technology (http://jss.ecsdl.org/content/7/9/P491.abstract?etoc 2018.12.09)

Sunday, September 9, 2018

Research breakthrough reduces cost of solar cell production by 10%

PV Magazine reports that [LINK] Researchers from Finland’s Aalto University and the Michigan Technological University, in the US, say they have demonstrated the cost per unit of power of black-Si PERC cells could fall 10.8%, despite an increase in cell processing cost. A new study in Energies*, conducted by scientists from Finland’s Alto University and the U.S.-based Michigan Technological University, however, intends to show production costs for black-Si PERC cells may be reduced by around 10% even using dry-etching, a process for making solar cells more efficient at capturing light.

Detailed manufacturing steps for texturized Czochralski PERC cells (left) and multicrystalline black-Si PERC cells (right). The overall processes are divided into wafer production (Steps 1 to 3) and cell processing (Steps 4 to 14). Their cost represent 85% and 15% of the total costs for the texturized Czochralski and 82% and 18% for the multicrystalline black-Si PERC, respectively. The steps highlighted in blue differ for the two cell architectures and are considered in the cost calculations. (Figure from the Energies Article released under Creative Commons Licence CC BY 4.0*)

According to the study in Energies above, ALD is used for the surface passivation steps and show yet another potential cost saving, since the black mc-Si PERC can effectively be passivated with a double-side ALD step prior to capping the rear side by SiNx, leading to both lower process costs and a lower number of necessary processing tools. The cost for the surface passivation by double-sided ALD is <50% of the cost for the corresponding steps by PECVD and CVD for the standard Cz process, which also includes an additional back surface polishingstep. 


Sum of the normalized costs for the PERC process steps that are different between the reference (standard texturized Cz-Si PERC) and the black mc-Si PERC. Step costs are normalized over the total steps costs for the reference device. The numbers on the black mc-Si PERC show the multiplying factor for each step in the process compared to the reference for the given process step. (Figure from the Energies Article released under Creative Commons Licence CC BY 4.0*)

However the study concludes that the largest challenge to escape the valley of death is the capital costs associated with PV industry-scaled RIE and ALD tools, which are both on the order of several million USD for a 1 GW PV plant. Therefore study recommend that governments can utilize to effectively assist industry in this respect by providing business incentive policies.


Scanning electron microscope image of reactive ion etched (RIE) black silicon [Wikipedia].

*Economic advantages of dry-etched black silicon in passivated emitter rear cell (PERC) photovoltaic manufacturing. Energies.
Modanese, C., Laine, H. S., Pasanen, T. P., Savin, H., & Pearce, J. M. (2018).
Retrieved from: https://digitalcommons.mtu.edu/materials_fp/174

Saturday, September 8, 2018

Thermal ALE of silica and alumina using TMA with Hydrogen Fluoride or Fluoroform

Hydrofluoric acid (HF) is the Achilles heel of thermal ALE of silicon and metal oxides. It is dangerous stuff to work with and if the HF it self will not kill you the yearly safety updates will. It is not that uplifting to sit and watch pictures of HF accidents - I tell you! Besides that to handle liquid HF  your ALE pimped ALD reactor will mean that you have to passivate all lines with HF prior to operation or alternatively rebuild the system using nickel valves and tubes instead.
 
So for anyone that has been through the procedure to use HF outside the regular chemical labs and are eager to get going with thermal ALE of oxides this should come as promising news. You can actually possibly skip HF and get on with the more reasonable friend fluoroform (CHF3) by using an additional water pulse that removes the fluorinated passivation. Or at least so it seems - Check it out!
 
So now we all wonder if CHF3 can be swapped for NF3...

Thermal Atomic Layer Etching of Silica and Alumina Thin Films Using Trimethylaluminum with Hydrogen Fluoride or Fluoroform
Rezwanur Rahman, Eric C. Mattson, Joseph P. Klesko, Aaron Dangerfield, Sandrine Rivillon-Amy, David C. Smith, Dennis Hausmann, and Yves J. Chabal

ACS Appl. Mater. Interfaces, Article ASAP DOI: 10.1021/acsami.8b10899
https://pubs.acs.org/doi/10.1021/acsami.8b10899
 
 
Reprinted with permission from (ACS Appl. Mater. Interfaces, Article ASAP DOI: 10.1021/acsami.8b10899) Copyright (2018) American Chemical Society.
 
Thermal atomic layer etching (ALE) is an emerging technique that involves the sequential removal of monolayers of a film by alternating self-limiting reactions, some of which generate volatile products. Although traditional ALE processes rely on the use of plasma, several thermal ALE processes have recently been developed using hydrogen fluoride (HF) with precursors such as trimethylaluminum (TMA) or tin acetylacetonate. While HF is currently the most effective reagent for ALE, its potential hazards and corrosive nature have motivated searches for alternative chemicals. Herein, we investigate the feasibility of using fluoroform (CHF3) with TMA for the thermal ALE of SiO2 and Al2O3 surfaces and compare it to the established TMA/HF process. A fundamental mechanistic understanding is derived by combining in situ Fourier transform infrared spectroscopy, ex situ X-ray photoemission spectroscopy, ex situ low-energy ion scattering, and ex situ spectroscopic ellipsometry. Specifically, we determine the role of TMA, the dependence of the etch rate on precursor gas pressure, and the formation of a residual fluoride layer. Although CHF3 reacts with TMA-treated oxide surfaces, etching is hindered by the concurrent deposition of a fluorine-containing layer, which makes it unfavorable for etching. Moreover, since fluorine contamination can be deleterious to device performance and its presence in thin films is an inherent problem for established ALE processes using HF, we present a novel method to remove the residual fluorine accumulated during the ALE process by exposure to water vapor. XPS analysis herein reveals that an Al2O3 film etched using TMA/HF at 325 °C contains 25.4 at. % fluorine in the surface region. In situ exposure of this film to water vapor at 325 °C results in ∼90% removal of the fluorine. This simple approach for fluorine removal can easily be applied to ALE-treated films to mitigate contamination and retain surface stoichiometry.

Veeco present ALD coating that reduces failure in orthopedic implants

At the EFDS ALD For Industry Workshop in Dresden this spring Ganesh Sundaram Veeco presented on their recent developments employing ALD in Life Sciences [LINK]. One of the topics presented in hos presentation was how an ALD coating can reduce failure in orthopedic implants. Here is a recent article describing these studies in details that is well worth reading along with the scientific publications.

Typical titanium implant (Wikipedia) in Osteosynthesis, which is the operative treatment of bone fractures, mainly with metal nails, plates and screws.

Atomic Layer Deposition Coating Reduces Failure in Orthopedic Implants

Written by Luting Liu, Ritwik Bhatia, Thomas J. Webster
Novus Light Technologies Today

LINK: https://www.novuslight.com/atomic-layer-deposition-coating-reduces-failure-in-orthopedic-implants_N8392.html

Introduction:

Titanium (Ti) and its alloys have been extensively used as implant materials in orthopedic applications. However, implants may fail due to a lack of osseointegration and/or infection. Researchers endowed an implant surface with favorable biological properties by the dual modification of surface chemistry and nanostructured topography. The application of a nanostructured titanium dioxide (TiO2) coating on Ti-based implants is proposed to enhance tissue-implant interactions while inhibiting bacterial colonization simultaneously due to its chemical stability, biocompatibility, and antimicrobial properties.

Temperature-controlled atomic layer deposition (ALD) was found to provide an effective strategy to produce TiO2 coatings with delicate control of surface nano-topography and surface energy to enhance the interfacial biocompatibility and mitigate bacterial infection.



Ganesh Sundaram Veeco presenting recent developments employing ALD in Life Sciences at EFDS ALD For Industry in Dresden 2018 (Photo Dr. Martin Knaut).

Original publication [Open access]: Atomic layer deposition of nano-TiO2 thin films with enhanced biocompatibility and antimicrobial activity for orthopedic implants, Liu L, Bhatia R, Webster TJ, International journal of nanomedicine 8 December 2017 Volume 2017:12 Pages 8711—8723 DOI https://doi.org/10.2147/IJN.S148065

Combining Focused Ion Beam Patterning and Atomic Layer Deposition for Nanofabrication


While the big guys are banging there heads against the wall to achieve smaller critical dimensions for Logic and Memory fabrication using classical top down Litho-Etch patterning ALD has stepped in multiple times to save the world. Some examples in high volume manufacturing:
  • ASD - Area selective deposition by ether CVD or ALD
  • SADP - Self-aligned double patterning
  • SAQP - Self-alignes quadruple patterning
  • Depositing hardmask materials and liners in advanced patterning schemes for high aspect ratio and dense features
Besides ALD, ALE is used for trimming of pattern features such as FinFETs and hardmasks, or to fabricate smallest feature nano-imprint stamps and even to split nano wires longitudinal. There is basically no end to what you can do once you have atomic level control of things.

Focused Ion Beam (FIB) is a technology that is available in most material analytical labs and fabs as in-line metrology to make sample preparations or repair and is also used in standard manufacturing for lithography masks, i.e., there are tools out there that can shuffle substrates and 300 mm wafers at high speed.

Ph.D. Thesis defence (picture from Twitter, HelsinkiALD

That is why this thesis by Zhongmei Han is indeed very interesting and worth reading - congratulations to the recent defense in Helsinki!

Combining Focused Ion Beam Patterning and Atomic Layer Deposition for Nanofabrication

Doctoral Thesis, Zhongmei Han
Department of Chemistry, Faculty of Science, University of Helsinki, Finland

For nanofabrication of silicon based structures, focused ion beam (FIB) milling is a top-down approach mainly used for prototyping sub-micron devices, while atomic layer deposition (ALD) is a bottom-up approach for depositing functional thin films with excellent conformality and a nanometer level accuracy in controlling film thicknesses. Combining the strengths of FIB milling with ALD provides new opportunities for making 3D nanostructures. In FIB milled silicon, the gallium implanted surface suffers from segregation and roughening upon heating, which makes the thermal stability of the as-milled substrate a concern for the following ALD processes which are typically performed at temperatures of 150 ℃ and higher. This study aimed to explore methods for improving the thermal stability of FIB milled silicon structures for the following ALD processes. The other aim was to fabricate nanostructures by alternately using FIB milling and ALD approaches on silicon and oxide thin film materials. The experiments were started on the reduction of gallium implantation during FIB milling of silicon substrates using different incident angles. Oblique incidence of the ion beam was found an effective method for improving the thermal stability of the FIB milled silicon surfaces by decreasing their gallium content. The improved thermal stability allowed to apply ALD Al2O3 on the FIB milled surfaces to make nanotrenches. Wet etching in KOH/H2O2 was found as a second method for improving the thermal stability by removing the gallium implanted silicon layer. ALD Al2O3 thin films can be applied as milling masks to limit amorphization of silicon upon FIB milling. With the aid of KOH/H2O2 etching, nanopore arrays, nanotrenches and nanochannels were fabricated. ALD grown Al2O3/Ta2O5/Al2O3 multilayers were FIB milled and wet etched to form both 2D and 3D hard masks. The fabricated 2D masks were used for making metal structures which are applicable for electrical connections. Thin film resistors were also fabricated using this 2D mask system. In conclusion, this study illustrates that combining FIB patterning and ALD is feasible for 3D nanofabrication when the stability of FIB milled surfaces is considered and improved. 
 

Thursday, September 6, 2018

Atomic Layer Etching: Rethinking the Art of Etch

Yet another Must Read ALE journal publication from Lam Reaserach Keren Kanarik and team. Please enyoy!

Atomic Layer Etching: Rethinking the Art of Etch

Keren J. Kanarik, Samantha Tan, and Richard A. Gottscho
The Journal of Physical Chemistry Letters 2018 9 (16), 4814-4821
DOI: 10.1021/acs.jpclett.8b0099
 
 
Atomic layer etching (ALE) is the most advanced etching technique in production today. In this Perspective, we describe ALE in comparison to long-standing conventional etching techniques, relating it to the underlying principles behind the ancient art of etching. Once considered too slow, we show how leveraging plasma has made ALE a thousand times faster than earlier approaches. While Si is the case study ALE material, prospects are better for strongly bound materials such as C, Ta, W, and Ru. Among the ALE advantages discussed, we introduce an ALE benefit with potentially broad application—the ALE smoothing effect—in which the surface flattens. Finally, regarding its well-established counterpart of atomic layer deposition (ALD), we discuss the combination of ALE and ALD in tackling real world challenges at sub-10 nm technology nodes
 
 

Wednesday, September 5, 2018

Arbeitskreistreffen ALD Lab Saxony & ALD Fest 2018

Am 12. September 2018 findet das nächste Treffen des "ALD Lab Saxony", dem Interessenverbund für Atomic Layer Depositon unter dem Dach des Cool Silicon e. V., statt. Hierzu laden wir alle Cool Silicon Mitglieder sowie Interessierte ein.


Weiterführende Informationen finden Sie : https://www.cool-silicon.de/termine/termin-detail/?tx_na5108easyevent_na5108easyevent%5Bna5108easyevent%5D=410

Sponsoring:


Veeco Announces Changes to Executive Leadership Team

PLAINVIEW, N.Y., September 4, 2018 —Veeco Instruments Inc. (NASDAQ: VECO) today announced that John Peeler, Chairman and Chief Executive Officer, will transition to the role of Executive Chairman, effective October 1, 2018. William J. Miller, currently President, will become Chief Executive Officer and will join the Company’s board of directors bringing the size of the board to eight. Additionally, Shubham (Sam) Maheshwari will be named Chief Operating Officer and will continue in his role as Chief Financial Officer. 

Peeler joined Veeco in 2007 as Chief Executive Officer and became Chairman of the Board in 2012. As Executive Chairman, Peeler will work closely with Miller and the Board to ensure an effective transition of management.

“With his impressive background and track record of notable achievements across strategic, product development and operational assignments, there is no one better suited than Bill to take over the helm as Veeco looks forward to its next chapter,” stated Peeler. “Bill and the executive team have the industry experience to execute Veeco’s vision while remaining committed to enabling tomorrow’s technology breakthroughs.”

Tuesday, September 4, 2018

Postdoctoral Associate / Young Investigator (m/f/d) Atomic Layer Deposition / Etching for Functional Nanostructured Materials

The Leibniz Institute for Solid State and Materials Research Dresden – in short IFW Dresden – is a non-university research institute and a member of the Leibniz Association. The IFW employs approximately 600 people and one focus is on the training of young scientists besides enhancing fundamental and applied research development. At the highest international level, the IFW operates modern materials science on a scientific basis and makes the obtained results useful for the economy. The complex and interdisciplinary research work is carried out within the IFW by five scientific institutes, which are sup-ported by a highly developed technical infrastructure. The IFW supports its employees in reconciling work and family life and regularly submits to the berufundfamilie® audit.

Further information at: http://www.ifw-dresden.de

Postdoctoral Associate / Young Investigator (m/f/d)

Atomic Layer Deposition / Etching for Functional Nanostructured Materials

We are searching for a young investigator at the Institute for Metallic Materials (IMW) at the IFW Dresden focusing on the application of atomic layer deposition/etching (ALD/ALE) for the development of novel nanostructured materials and their device applications. In the future she or he should give significant contributions at least to one of the following research activities by engaging the recently established ALD laboratories e.g.: (a) layered/quantum materials and devices (b) thermoelectric materials or (c) magnetic and ferroic materials (nanograined bulk and thin films).

Saturday, September 1, 2018

PEALD - Alumina Systems & Plasway Technologies rewarded "Best Component Award" at CERAMITEC 2018

Alumina Systems GmbH was awarded the "Best Component Award" for its development of a ceramic gas distributor ring. For the first time this year, the prize was awarded by the trade magazine "Ceramic Applications". At the CERAMITEC 2018 trade fair in Munich.


The award-winning component is a ceramic distribution ring for PEALD (Plasma Enhanced Atomic Layer Deposition) processes. The aluminum oxide ring has a diameter of 380 mm and consists of three horizontally divided rings, which are joined with glass solder. In addition, 3-D printed ceramic nozzles made of 99.99% Al2O3 have been soldered in at the same time for internal gas distribution. The ring can supply 2 gases (precursors) at the same time or sequentially into a 300 mm PEALD chamber.



The managing director of Alumina Systems GmbH, Dr. med. Holger Wampers (left) and development partner and customer Stephan Wege, managing director of Plasway Technologies GmbH.

To make it even more complicated, the gas connection for the precursors is made by a titanium tube, which is then soldered to two 3D-printed stainless steel tubes. Both metal solderings are attached via a downstream active soldering. All joining operations are cascaded in the temperature control, i. the process with the highest temperature must first be carried out, further processes must always be lower in temperature so that previous solder joints do not break up again. Besides from the metal parts, the components and joining processes were manufactured or carried out at Alumina Systems in Redwitz. "We have used all our know-how in this component," emphasized Dr. med. Wampers and added: "The horizontally split rings must be in relatively close tolerances for flatness and roundness after sintering, otherwise this can not be corrected with the subsequent grinding process for technological reasons. This is not so easy to achieve due to the shrinkage process of around 20%. Another challenge was the Laval nozzles, which could not have been produced without a 3-D printer. In addition, the entire ring is He leak-tight to 10-8 mbar · L / s "



For more details you can browse the current issue of Ceramic Applications 2/2018 : LINK
As well as Plasway Technologies GmbH (LINK), Fraunhofer IKTS Thin Film Technology Group (LINK) and Alumina Systems news: LINK (German)

Updated website NCD for ALD technology and equipment



Updated website NCD for ALD technology and equipment: www.ncdtech.co.kr

NCD updated its website with re-formation and the new company promotional video clip for the introduction and main equipment.


Announcing Kronos™ 1080 and ICOS™ F160 Inspection Systems: Expanding KLA-Tencor's IC Packaging Portfolio

KLA-Tencor has announced it is expanding its integrated circuit (IC) packaging portfolio with two new defect inspection products designed to address a wide variety of IC packaging challenges: KronosTM 1080 and ICOS™ F160. The two new inspection systems join KLA-Tencor’s portfolio of defect inspection, metrology and data analysis systems that help accelerate packaging yield and increase die sort accuracy. You may find the official release here;

Highlights: 
  • Kronos 1080 – offers production-worthy, high sensitivity wafer inspection for advanced packaging, providing key information for process control and material disposition.
  • ICOS F160 – examines packages after wafers have been diced, delivering fast, accurate die sort based on detection of key defect types—including sidewall cracks, a new defect type affecting the yield of high-end packages. 
 Kronos™ 1080 and ICOS™ F160 (Image shared by KLA-Tencor)