Thursday, December 30, 2021

Interview with Maksym Plakhotnyuk, CEO & Co-Founder, Atlanta 3D Nanosystems

Here an insightful interview with Maksym Plakhotnyuk, CEO & Co-Founder, Atlanta 3D Nanosystems on Advancements in Micro & Nano Electronics – What it Means for the Semiconductor Industry

ATLANT 3D Nanosystems is a cross-European deep tech company that developed a unique atomic layer advanced manufacturing technology (direct write ALD) with a mission to reshape the future atom by atom and enable on-demand advanced materials development, rapid prototyping and manufacturing of microdevices and nanodevices. ATLANT 3D team is highly dynamic, international, and multidisciplinary, consisting of 15 experienced entrepreneurs, engineers and scientists. ATLANT 3D collaborates with leading European universities, such as SAS, DTU, FAU, TNO, SUPSI and industrial partners such as Merck, ST Microelectronics, Prima Industrie and SEMPA.



Wednesday, December 15, 2021

Redstone’s North Karelia Growth Fund makes a pre-seed investment in Chipmetrics, a spin-off of VTT Finland

Redstone’s North Karelia Growth Fund makes a pre-seed investment in Chipmetrics, a spin-off of VTT. Chipmetrics is based in Joensuu, Finland, one of the leading photonics hubs in Europe. The company is a forerunner in productizing test structures, test chips, and related measurement concepts for advanced materials and microelectronics manufacturing industries.

The 3D nanometrology startup is part of the emerging Atomic Layer Deposition industry and research community. ALD is a key enabler of the 3D megatrend in the semiconductor industry by improving the performance and energy efficiency of transistors and memory circuits.

We wish CEO Mikko Utriainen and the whole team the best of success in this sizable global industry and are delighted to support the venture.

Chipmetrics will launch a seed round in 2022. We are looking forward to seeing you grow!



Tuesday, December 14, 2021

2022 Atomic Layer Processing Modelling Workshop

Pedersen group is organizing a work shop on modelling of atomic layer processes in Linköping 15-16 March next year with a Tutorial by Ray Adomaitis. Possibility to join via Zoom. 



Welcome to a forum where experimentalists and modellers from academia and industry meet to collaboratively push the boundaries of multi-scale modelling.

Predict the Future of Thin Films

Is complete in silico development of new materials and methods a utopia or just around the corner? Join us on-site or online and discuss state-of-the-art scientific methods to model atomic layer processes such as CVD, ALD and ALE, from reactorscale to atomic level.

The conference will have a mix of contributed talks, describing the latest in ALP modelling (atomic layer processing) and industry lectures presenting areas that need modelling. 

Tutorial

Prof. Raymond Adomaitis, from University of Maryland, will describe his way of modelling ALP in the tutorial “Reaction network analysis of ALD processes: Is this a true ALD cycle? What rates can be measured?”.

 

Date and time: March 15-16, starting on Tuesday at 12.00. 

Place: Planck, Fysikhuset. You will also be able to participate online via link.

Abstract deadline: February 2022.

The conference is free of charge but to participate you will have to register. Registration and Abstract aplication will soon be available here.



Wednesday, December 8, 2021

Meaglow Hollow Cathode Gas Plasma Source Paper Published by the Journal “Coatings”

Meaglow technical staff have published a paper entitled: “Recent Advances in Hollow Cathode Technology for Plasma-Enhanced ALD — Plasma Surface Modifications for Aluminum and Stainless-Steel Cathodes” in the journal “Coatings”. An early version of the paper can be accessed at the journal website, here.

The paper provides a brief review of oxygen contamination from ICP and microwave legacy sources, but also provides details of the operation of the hollow cathode gas plasma sources now widely used by the ALD community.



Tuesday, December 7, 2021

How Machine Learning Enables Accurate Prediction of Precursor Volatility

by Simon Elliott, Director of Atomic Level Process Simulation, Schrödinger

Challenges in predicting volatility

A crucial process in manufacturing CPUs and other high-tech devices is the deposition of solid material from reactive vapors. Different precursor vapors are used for chemical vapor deposition, vapor phase epitaxy, atomic layer deposition – and indeed the reverse process of atomic layer etching – with the precursor chemistry carefully designed for each case so as to control material quality at the nanoscale. But what all these techniques have in common is that the precursor chemicals must evaporate or sublime at a low enough temperature. Too much heating when vaporizing a precursor can make it decompose, causing it to be undeliverable to the growing surface.

With volatility playing such a central role in this technology (and in other fields like distillation, refrigeration, inkjet printing, food, and perfumes), it is surprising that we understand so little about it. Volatility is the product of a remarkably fine balance of interatomic forces, dictating the extent to which molecules condense together as a solid or liquid, or bounce apart into a vapor and deliver a certain vapor pressure at any given temperature. These interatomic forces can be computed very precisely with quantum mechanics for one molecule or a group of molecules, but not at the scale of a liquid or solid. Even with today’s computing power, routinely and accurately predicting precursor volatility ‘from first principles’ remains unfortunately out of reach.

Machine learning approaches

Could an alternative more empirical approach prove useful? Does enough experimental data exist to find the relation between volatility and chemical structure? The vaporization of some organic molecules, such as alcoholic fractions or natural fragrances, has been of interest for centuries and high-quality vapor pressure data are available in the literature. Over the last decade, these data have been analyzed with advanced fitting algorithms that come under the umbrella of ‘machine learning’. Schrödinger has leveraged the latest machine learning techniques to develop a highly accurate model that predicts the volatility of organic molecules up to C20.

However, when building machine learning models to predict volatility of precursor molecules, which are typically organometallic complexes, the situation is not so straightforward. New precursor molecules are constantly being proposed and evaluated. Commercial sensitivity sometimes means that data are partially withheld or plagued by experimental configuration differences from laboratory to laboratory. Additionally, for the common aim of material processing, complete pressure-temperature curves are rarely measured, as it is more pragmatic to focus on the temperature for vapor to transport successfully to the reactor. As a result, datasets for building predictive models are sparse and incomplete.

Prediction of volatility for inorganic and organometallic complexes 

Schrödinger scientists embarked on the challenge of building machine learning models to predict the volatility of precursor molecules. Using in-house expertise in machine learning and advanced informatics, Schrödinger scientists collated and digitized information about organometallic precursors from disparate literature sources and applied a variety of machine learning algorithms (such as Random Forest and Neural Networks) in conjunction with different chemoinformatic descriptors and fingerprints. The result is the first capability of its kind for accurately and efficiently predicting the volatility for inorganic and organometallic complexes from their chemical structures. For complexes of the fifty most common metals and semimetals, the model predicts the evaporation or sublimation temperature at a given vapor pressure with an average accuracy of ±9°C (which is about 3% of the absolute temperature). As a trained model, the turnaround time is fast with the ability to compute hundreds of complexes per second.

New avenues for precursor development

This predictive model opens a new path for designing novel precursors with improved performance, not only improving their deposition or etch chemistry, but also optimizing the temperature at which they evaporate or sublime and can be delivered as a vapor. This advance will allow a much wider range of structural modifications to be screened computationally than before and will produce candidate precursors for experimental synthesis and testing that are both less risky and more innovative. This volatility model, together with Schrödinger’s quantum mechanics-based workflows for computation of reactivity and decomposition, gives scientists a complete design kit for vapor-phase deposition or etch, delivering a faster pace of research into materials and processes for new technologies.

* The banner image is from Tyndall National Institute.

About the author


Dr. Simon Elliott is Director of Atomic Level Process Simulation at Schrödinger. From 2001-2018 he led a research group at Tyndall National Institute, Ireland. Prior to that, he studied chemistry at Trinity College Dublin and Karlsruhe Institute of Technology. He qualified as a Project Management Professional and is a Fellow of the Royal Society of Chemistry. He was co-chair of the 16th International Conference on Atomic Layer Deposition and chair of a 175-member COST network on the same topic.

About Schrödinger

Schrödinger is an industry-leading computational solutions provider for both life science and materials science, with a mission to improve human health and quality of life by transforming the way therapeutics and materials are discovered.

With the goal to accelerate the discovery and optimization of novel materials by a digital chemistry platform governed by physics-based modeling, amplified by machine learning, and optimized through team-based intelligence, Schrödinger’s Materials Science platform offers unprecedented insights into the mechanisms and properties of materials and chemical systems in a wide range of technological applications: Organic Electronics, Polymeric Materials, Consumer Packaged Goods, Catalysis & Reactive Systems, Semiconductors, Energy Capture & Storage, Complex Formulations, Metals, Alloys & Ceramics.

Learn more

Request more information



Beneq unveils two new ALD products for 300mm and compound semiconductor device fabrication respectively

Beneq revolutionized ALD cluster tools for More-than-Moore device makers with the highly successful Beneq Transform® family of products. Today, Beneq broadens its product portfolio further with two new distinct solutions: the Transform® 300 and ProdigyTM.

The Beneq Transform 300 and Prodigy were each created in response to specific technology requirements in the semiconductor manufacturing sector.

“The Transform 300 is designed to meet the growing demand of emerging semiconductor applications at 300 mm for devices such as CMOS image sensors, Power Devices, Micro-OLED/LED, and Advanced Packaging, which call for a high degree of versatility,” explains Patrick Rabinzohn, Vice President, Semiconductor ALD at Beneq.

“We created Prodigy to address those market segments that need a simple solution supported by high-end technology. It inherits the ALD design and processing knowhow we at Beneq have developed over the last 15 years, packing advanced features in a simpler, targeted industrial form factor,” continues Rabinzohn.
Beneq Transform 300 is the only 300 mm ALD cluster tool that combines thermal ALD (batch) and plasma ALD (single wafer) technologies to provide a highly versatile platform for IDMs and foundries. It is dedicated to advanced thin-film applications in CIS, Power, Micro-OLED/LED, Advanced Packaging and other MtM applications.

Beneq Tranform 300 is a highly configurable platform that caters to multiple advanced thin-film applications ranging from gate dielectric including in high aspect ratio trenches, to anti-reflection coating, final passivation or encapsulation, Chip-Scale-Packaging and beyond.

Beneq Prodigy is the deal manufacturing solution for compound semiconductor including RF IC’s (GaAs/GaN/InP), LED, VCSEL, Light Detectors and for MEMS manufacturers and foundries looking to enhance device performance and reliability through an affordable stand-alone ALD batch tool. Beneq Prodigy provides best-of-breed passivation and encapsulation films across multiple wafer types and sizes.

To learn more, visit:


Thursday, December 2, 2021

Master Program in ALD at Helsinki University!

On de­mand tailored train­ing  

We can organise special training on ALD and thin film characterisation. The content can be specifically tailored to meet your specific needs. Contact for further details!
M.Sc. in ALD

Application period for our Master's programme is open! Apply now to study inorganic materials chemistry with a focus on atomic layer deposition.

HelsinkiALD / ALD center Finland is now providing a well thought-out academic education program on Atomic Layer Deposition with skills highly relevant for also other thin film technologies.

The selected students will be majoring from Inorganic materials chemistry study track of Master’s Programme in Materials Research (link), but the courses are directed so that they are covering all important aspects of ALD, including precursors, thin film deposition and characterization as well as potential applications.

As a final part, Master's Thesis in the field of ALD is carried out, either in our HelsinkiALD team or companies working on ALD technology. Upon graduation a special certificate on the ALD focus will be awarded together with the Inorganic materials chemistry diploma.

List of courses for ALD master studies. Optional course selection agreed with the supervisor, with strongest recommendation to courses listed below.




Wednesday, December 1, 2021

Webinar RIE and ALE Processes for Quantum Devices

Optimise the Fabrication Process for Quantum Devices, 2nd December, 4 pm (GMT)

Dr Russ Renzas, Quantum Technology Market Manager

The fabrication of superconducting qubits, quantum photonic elements and diamond-based quantum sensors require highly controlled, stable processes that will not damage the surface and leave no residues. Plasma-based Reactive Ion Etch and Atomic Layer Etch processes are critical for the fabrication of these quantum devices.

In this webinar, Dr Russ Renzas will give an overview of the available plasma etch solutions and how each one of them can be used to overcome some of the processing roadblocks, providing specific examples of how they are applied during the fabrication process

This webinar will give an introduction of:
  • The various etch platforms that are available and their differences
  • How each etch system can be used to overcome some of the processing roadblocks
  • Quantum-specific examples of what can be done and why it should be done during device fabrication process


 

REGISTER NOW

Thursday, November 25, 2021

Watch again - Material development for MRAM and FRAM stacks at Fraunhofer IPMS-CNT

Material development for MRAM and FRAM stacks

Dr. Lukas Gerlich & Konrad Seidel (Fraunhofer IPMS - Center Nanoelectronic Technologies)

Today, data is the lifeblood disrupting many industries. The vast majority of this data is stored in the form of non-volatile magnetic bits in hard disk drives. This technology was developed more than half a century ago and has reached fundamental scaling limits that prevent further increases in storage capacity. New approaches are needed.

In the webinar, FRAM (Ferroelectric Random Access Memory) and MRAM (Magnetoresistive Random Access Memory) will be presented as two promising concepts for future ultra-low power memory technologies. Special attention will be paid to material development and fabrication on state-of-the-art industrial equipment for 300 mm wafers.


Previous Webinar: Fe- FET - A Memory Device for Maximum Integration, Konrad Seidel (IoT Components and Systems) Webinars - Fraunhofer IPMS



Tuesday, November 23, 2021

Picosun Group has launched an ALD Medical Materials Library

Picosun Group has launched a Medical Materials Library consisting of over ten materials intended for medical-related coating and encapsulation with ALD.


"Picosun’s Medical Materials Library targeted to the medical device manufacturer segment reflects the innovative spirit of the company. I’m really excited over the fact that we are able to flexibly design tailored encapsulations according to our customers’ needs. I’m especially proud of our medical customers and partners who are already in pre-clinical and clinical trials with their ALD coated devices”, says Juhani Taskinen, Vice President, Medical Business Area of Picosun Group.



The materials library is a reflection of over six years extensive research and development that shows ALD can render biocompatibility to a substrate coated with Picosun library materials. Biocompatibility tests were carried out according to ISO standards in accredited third-party laboratories. The performed tests included for example cytotoxicity, antimicrobial activity, skin irritation or sensitization, hemocompatibility, bacterial endotoxins, bioburden and sterilization residuals. From the tested materials Picosun is able to design tens of different types of end materials according to customer needs.

ALD technology provides dimensionless coating thus enabling miniaturization of components and devices in the field of electronic implants. Antibacterial function together with excellent barrier properties and outstanding corrosion resistance pave the way for using the materials both in electronic and orthopedic implants and show high potential in pharmaceutical packaging. The superior film uniformity and conformality ensure a pinhole-free coverage over even the smallest details of the device.


Friday, November 19, 2021

How Did Plasmas Change ALD? - w/ Erwin Kessels (ALD Stories Ep 8)

In Episode 8 of ALD Stories, Tyler chats with Eindhoven University of Technology professor, Erwin Kessels, about plasma-enhanced ALD, industry applications, and Erwin's Atomic Limits blog & teaching strategies. 

In this video: 
00:00 - Intro
  01:46 - PEALD Basics, Ions, & Applications 
20:56 - Semiconductor Industry Advantages 
28:05 - Photovoltaic Passivation 
36:43 - Atomic Limits Blog & Teaching 
45:25 - Outro 

Professor Erwin Kessels - https://www.tue.nl/en/research/resear... 
Atomic Limits Blog - https://www.atomiclimits.com/



Thursday, November 11, 2021

Call for abstracts - AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) in Ghent, Belgium

 

Call for Abstracts
Due February 3, 2022
The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2022 Workshop (ALE 2022), so that attendees can interact freely. The conference will take place Sunday, June 26-Wednesday, June 29, 2022, at the International Convention Center (ICC) Ghent in Ghent, Belgium.

As in past conferences, the meeting will be preceded (Sunday, June 26) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, June 27-29) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.
Key Deadlines:
Abstract Submission Deadline: February 3, 2022
Author Acceptance Notifications: March 16, 2022
Early Registration Deadline: May 15, 2022
Hotel Reservation Deadline: May 25, 2022
JVST Special Issue Deadline: November 1, 2022
ALD Program Chairs
 
Program Chair:
Christophe Detavernier
(Ghent University, Belgium)

Program Co-Chair:
Jolien Dendooven
(Ghent University, Belgium)

Program Co-Chair:
Paul Poodt
(TNO/Holst Center,
The Netherlands)
ALE Program Chairs

Program Chair:
Erwin Kessels
(Eindhoven University of Technology, The Netherlands)

Program Co-Chair:
Harm Knoops
(Oxford Instruments,
The Netherlands)

Program Co-Chair:
Jean-Francois de Marneffe
(imec, Belgium)

2021 November Networking - ALD at Aalto University

Date and time: Fri 26.11.2021 starting at 13 Event will be held at Aalto CHEM, Kemistintie 1, Espoo and online in Zoom at https://aalto.zoom.us/j/68826769819 (password to be sent to registered participants via email a few days before the event)


Programme consists of (1) lectures (can be followed in Zoom): 
Brief introduction to ALD, Invited guest talks (Prof. Barry, Prof. van Ommen, Prof. Malygin), update of the Virtual Project on the History of ALD (VPHA); and (2) posters by local ALD research (not in Zoom) ("local" may be broadly interpreted).

Registration DL for participation with posters: Sun 14.11.2021. The number of posters may need to be limited; "first come, first serve".

Registration DL for local in-person participation: Mon 22.11.2021. The number of participants may need to be limited; "first come, first serve".

Registration DL for Zoom participation: Wed 24.11.2021. The number of participants might need to be limited (but likely not); if limiting is needed, "first come, first serve".

Organizing committee: Prof. Riikka Puurunen (chairperson), Dr. Aitor Arandia Gutierrez, Dr. Jorge Velasco, M.Sc. Jihong Yim. All from Aalto University, School of Chemical Engineering, Department of Chemical and Metallurgical Engineering, Catalysis research group.



AlixLabs, Breaking through the Crisis – Startups

While the world is dealing with the semiconductor shortage, startups are gearing up to fill in the gap with their innovative offerings to ensure that crisis doesn’t last long. In this interview, the co-founder and CEO of AlixLabs, Dr. Jonas Sundqvist discusses about what led us to this crisis, how to fix it and what role startups have in ensuring interrupted supply of silicon. He also discusses about what next when this crisis is fixed? Is there another crisis that may arise?



Tuesday, November 9, 2021

NCD Supplied new ALD equipment for protective coating of semiconductor equipment’s products

NCD supplied new ALD equipment to the customer based in Korea for coating products used in semiconductor equipment to protect from corrosion and plasma arcing. The customer could expect longer part lifetime and lower operation expense through this special coating because of improving reliability of process and protecting parts from damages.

Productivity and cost competitiveness have been more and more important in semiconductor industry. Using special coating, the competitiveness could be much increased by protecting particle generation and damage of semiconductor production, and cost down by longer maintenance period.

Normally protective coating on parts is used thermal spray coating, anodizing process and sputtering but they have some limitation because it is difficult to coat uniformly on complicated and micro-shaped products by those methods. However ALD process could solve that problem.

Complex shaped shower heads and electro static chucks in the equipment using etching and dry cleaning process with corrosive gases as well as plasma, could be expected to apply ALD process. Because shower heads have a lot of fine holes and ESCs have wafer lift pin holes so those products couldn’t be coated uniformly by CVD and PVD methods. Therefore ALD might be very essential for special coating for these products.

ALD Al2O3 coating to protect part damage is used normally because Al2O3 process has already been confirmed in many application area. ALD Y2O3 will be studied actively for the next protective coating because it has much more anticorrosive property than ALD Al2O3.

This supplied equipment, Lucida GSH500, applied NCD’s creative high throughput and large area ALD technology could load multi semiconductor products at the same time and run uniform ALD coating. NCD will keep our best to develop the more competitive ALD technology and equipment to expand various new application fields in the future.



<Lucida GSH500>



Innovative remote plasma source for atomic layer deposition for GaN devices

Oxford Instruments and TU Eindhoven present results from the new Atomfab(TM) Remote Plasma ALD system for high-quality dielectric films. This could enable GaN normally off high-electron-mobility transistors (HEMTs).

Innovative remote plasma source for atomic layer deposition for GaN devices
Journal of Vacuum Science & Technology A 39, 062403 (2021); https://doi.org/10.1116/6.0001318

High-quality dielectric films could enable GaN normally off high-electron-mobility transistors (HEMTs). Plasma atomic layer deposition (ALD) is known to allow for controlled high-quality thin-film deposition, and in order to not exceed energy and flux levels leading to device damage, the plasma used should preferably be remote for many applications. This article outlines ion energy flux distribution functions and flux levels for a new remote plasma ALD system, Oxford Instruments Atomfab™, which includes an innovative, RF-driven, remote plasma source. The source design is optimized for ALD for GaN HEMTs for substrates up to 200 mm in diameter and allows for Al2O3 ALD cycles of less than 1 s. Modest ion energies of <50 eV and very low ion flux levels of <1013 cm−2 s−1 were found at low-damage conditions. The ion flux can be increased to the high 1014 cm−2 s−1 range if desired for other applications. Using low-damage conditions, fast ALD saturation behavior and good uniformity were demonstrated for Al2O3. For films of 20 nm thickness, a breakdown voltage value of 8.9 MV/cm was obtained and the Al2O3 films were demonstrated to be suitable for GaN HEMT devices where the combination with plasma pretreatment and postdeposition anneals resulted in the best device parameters.




Image of the Oxford Instruments Atomfab system (a) used in this work. A conceptual schematic (b) of the plasma source with powered (light gray) and grounded (dark gray) surfaces indicated. This plasma source was also put on a testbed system (c), which was used for the ion measurements and OES, the RFEA for probing the ion energy, and the flux was placed at the wafer level. Besides the RFEA, the testbed system was designed to allow for a range of optical diagnostics in the future (d).


Growth per cycle for ALD of Al2O3. Data are given as a function of precursor (a) and plasma (b) dose times and the respective purge times (c) and (d) at 300 °C. Single and double exponential curve fits serve as a guide to the eye.


ALD Webinar on Coatings for Electronic Implants

Tune into Picosun's webinar on Thursday 16th December 2021, 4PM CET, to discuss the benefits of ALD compared to the conventional coating methods used in electronical implants!
 



Digital healthcare has seen a tremendous development during past years with implantable electronics being part of ever-increasing number of treatment plans for patients. Consequently, need for advanced medical devices is rapidly expanding with ever tightening requirements as increasing number of complex therapeutic devices are implanted on the most sensitive areas of the body such as brain, spine, heart and eyes.

To ensure long lifetime and to reduce potential side effects in such environment, particular attention needs to be paid to the protective and biocompatible coating providing hermetic sealing, structural integrity and corrosion resistance to protect the device from the detrimental impact due to human body environment and to prevent the device releasing harmful substances to the surrounding tissue. The perfect protective coating therefore needs to be a biocompatible, pinhole-free barrier capable of uniformly coating devices with widely varying sizes and shapes to render them inert towards human body and vice versa, for decades after implantation.

Traditional thick film coating methods such as CVD, PVD or parylene tend to have limited utility on one or more of the requirements above. Atomic Layer Deposition (ALD) technology allows fabrication of ultra-thin, highly uniform and conformal material layers of exact thickness to atomic level and chemical composition on a variety of substrates, including highly temperature-sensitive organic materials. The technology was originally brought into large scale use by the semiconductor industry but has after that been applied to a variety of applications, including the latest MedTech innovations. Using ALD as the coating method for electronical implants improves patient safety as well as ensures longer lifetime and improved reliability for the implant.

Picosun’s ALD solutions have been in production for years at various medical industries including electronical implant protection. The coatings have been tested and proven to be non-cytotoxic, biocompatible, and to have antibacterial or bioactive function.

Join our webinar to learn more how ALD will revolutionize the MedTech industry, especially in the field of electronical implants, and create added value and competitive edge to your products. We will present the latest results on the superior hermeticity of our ALD encapsulants as a protective barrier against human tissue fluid. We have proven results on suppression of bacterial growth and ultra-low levels of bacterial endotoxins, surpassing even the strictest requirements of implant industries.

Key Learning Objectives
The benefits of ALD compared to the conventional coating methods used in electronical implants
Practical examples how Picosun’s biocompatible, hermetic ALD encapsulant coatings can improve the operational reliability, lifetime and safety of electronical implants

Sunday, October 31, 2021

UNIST has set a new efficiency record for a perovskite solar cell (PSC) at 25.8%

[UNIST] A research team, led by Professor Sang Il Seok in the School of Energy and Chemical Engineering at UNIST has set a new efficiency record for a perovskite solar cell (PSC) at 25.8% by forming an interlayer between electron-transporting and perovskite layers to minimize interfacial defects, contributing to the decrease in the power conversion efficiencies. The new record, according to the research team, is the world’s highest power conversion efficiency (PCE) reported so far. Besides, the record, certified by National Renewable Energy Laboratory (NREL), is also the highest confirmed conversion efficiency of 25.5%.


In perovskite solar cells, the interfaces between the perovskite and charge-transporting layers contain high concentrations of defects, specifically deep-level defects, which substantially reduce the power conversion efficiency of the devices, noted the research team. Efforts have been made to reduce these interfacial defects have focused mainly on surface passivation. Yet, passivating the perovskite surface that interfaces with the electron-transporting layer has been difficult, because the surface-treatment agents on the electron-transporting layer may dissolve while coating the perovskite thin film.

“Alternatively, interfacial defects may not be a concern if a coherent interface could be formed between the electron-transporting and perovskite layers,” said the research team.

Continue reading: Perovskite Solar Cells with Atomically Coherent Interlayers on SnO2 ElectrodesUNIST News Center | UNIST News Center

The findings of this research have been published in the October 2021 issue of Nature. 

Journal Reference
Hanul Min, Do Yoon Lee, Junu Kim, et al., “Perovskite solar cells with atomically coherent interlayers on SnO2 electrodes,” Nature (2021). Perovskite solar cells with atomically coherent interlayers on SnO2 electrodes | Nature

Nanofabrication via Maskless Localized Atomic Layer Deposition of Patterned Nanoscale Metal Oxide Films

Here is a cool demonstration of direct write Spatial ALD or LOCALD like the researches at Laboratoire des Multimatériaux et Interfaces, University of Lyon is calling it.

Nanofabrication via Maskless Localized Atomic Layer Deposition of Patterned Nanoscale Metal Oxide Films
ACS Applied Nano Materials (IF5.097), Pub Date : 2021-10-18, DOI: 10.1021/acsanm.1c02550
Laabdia Midani, Waël Ben-Yahia, Vincent Salles, Catherine Marichy

A modified open-air spatial atomic layer deposition (SALD) head is employed to fabricate complex oxide patterns on various substrates. The co-reactant being kept in the surrounding atmosphere, a simple injection head that consists of three concentric nozzles with only one precursor outlet has been designed. Easy and reversible modification in the diameter of the metal precursor outlet permits direct patterning with different lateral sizes. Maskless deposition of uniform and homogenous TiO2 and ZrO2 thin films is successfully demonstrated with a lateral resolution tuned from millimeters to hundred micrometers range while keeping the film thickness in the range of a few to hundreds of nanometers with a control at the nanoscale. This localized SALD approach, named LOCALD, also enables layer stacking and deposition on structured substrates.




Supporting information: an1c02550_si_001.pdf

Friday, October 29, 2021

Green CVD: How Sustainable is Thin Film Deposition?

Professors Henrik Pedersen, Sean Barry, and Jonas Sundqvist join Tyler to discuss their recent publication in JVSTA about Green CVD. The trio talk about the conception of a new research field which concerns creating more sustainable thin film deposition practices without sacrificing film quality and offers some perspective on a number of areas and strategies addressed in the manuscript. 


Wednesday, October 27, 2021

Perovskite Solar Cells by ALD with Georgi Popov Helsinki University

 


Georgi Popov, Helsingfors universitet, med presentationen "Perovskite Solar Cells by Atomic Layer Deposition (ALD)", del 2/8 i videoserien ”STV 100 år – fokus på energi” där unga forskare från olika högskolor och universitet i Finland presenteras sina forskningsprojekt inom ämnesområdet energi. Producent, regi och klipp: Johanna Stenback, All Things Content Fotograf och ljud: Anders Lönnfeldt 

Översättning: Andrea Reuter och Heidi Kråkström, All Things Content 

Svenska tekniska vetenskapsakademien i Finland, STV, firar sina första 100 år 2021. Redan vid akademiens sammankomst i mars 1922 berördes världsbehovet av energi. Temat är i nuläget aktuellt och många dagsaktuella problem kan lösas via smarta energilösningar. Vi har valt att energi är ett övergripande tema för vårt jubileumsår 2021 och också för vår videoserie. 

Hela serien med bakgrundsmaterial finns samlat på vår webbplats https://www.stvif.fi/stv-100-ar/ 

Doktoranden Gergi Popov har utvecklat flera experimentella metoder så att han kan använda tekniken atomavsättning, Atomic Layer Deposition (ALD), för att göra perovskita solceller. 

Denna nya typ av solceller består av tunna filmer och möter väl tillämpningar som kräver fysisk flexibilitet, genomskinlighet och avstämbara färger. Därtill är de billiga att producera av lättillgängliga material.

Tuesday, October 26, 2021

Benchtop ALD system AT410 from Anric Technologies offered by SPS

SPS offers various ALD systems, including a table top version for surface controlled layer-by-layer deposition with atomic layer accuracy. We are talking about the AT410! This system provides a solution to conformal, conductive thin films for 3D sample prep, as well as traditional 2D coatings that are currently grown using sputtering/evaporation. It not only pushes boundaries, but it’s also an effective replacement for your current sample preparation processes, all within a benchtop configuration!


Thursday, October 14, 2021

Picosun 200 and 300 mm Clustered ALD Batch tools setting a higher pace and pushing out more chips from the fabs

ESPOO, Finland, 14th of October 2021 – PICOSUN® Morpher has continued to demonstrate excellent batch process results in the latest acceptance runs the company has performed for its customers in the global semiconductor industry. Excellent uniformities (<1% 1sigma) and single-digit particle levels have been reached at a number of acceptance runs with different film materials such as Al2O3 and SiO2.

PICOSUN® Morpher was launched in 2019 for up to the 200 mm wafer markets. The tool’s strength is the adaptability to the changing needs of different business verticals, from corporate R&D to production and foundry manufacturing. It enables fully automatic and high throughput production of for example MEMS, sensors, LEDs, lasers, power electronics, optics and 5G components.



“The market showed great interest towards PICOSUN® Morpher after the launch and it has now become a leading product for the sub-300 mm production market. We have delivered PICOSUN® Morpher to a variety type of customers, the latest including world leading manufacturers that use the tool both for pilot and high-volume manufacturing of integrated circuits”, states Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

Earlier this year Picosun Group informed about record-breaking batch film quality results with PICOSUN® Sprinter, the Picosun new generation tool for 300 mm wafer markets.

Picosun ALD move into HVM for Optoelectronics at ams OSRAM

ESPOO, Finland, 28th of July 2021 – Picosun Group delivers cutting-edge Atomic Layer Deposition (ALD) technology to ams OSRAM for volume manufacturing of optical semiconductor devices.

ams OSRAM has invested in a fully automated PICOSUN® Morpher production cluster, which can deposit multiple materials on a batch of wafers even during the same process run. The flexibility and process variety of the PICOSUN® Morpher system is a key advantage, which enables volume production as well as the testing of new processes for R&D of future products.


Picosun Group and ams OSRAM have collaborated in a public funded project FLINGO (m-era.net project) to develop new ALD materials and processes to improve the characteristics of LEDs, such as efficiency and durability. The collaboration between the parties will continue after the ALD system delivery with activities to further expand the use of ALD in optoelectronic semiconductor processing.

“We have been working with Picosun since 2010 and now with this investment we can bring our collaboration to the next level. We are very excited to have the PICOSUN™ Morpher F cluster platform installed in our cleanroom”, states Dr. Sebastian Taeger, at ams OSRAM.

“The optical semiconductor market is one focus area of Picosun today. It is a fast-growing market where we have a strong presence with our tailored solutions for compound semiconductor-based devices. We have had excellent collaboration with the ams OSRAM technical team during project FLINGO and during the system specification stage. The expertise from both companies has resulted in optimized ALD solutions to boost the performance of the customer’s products.”, continues Dr. Christoph Hossbach, General Manager of Picosun Europe GmbH.

Tuesday, October 12, 2021

AlixLabs Granted Patent in Taiwan - The Unmatched Leader of the Global Semiconductor Industry

TUE, OCT 12, 2021 21:01 CET -- AlixLabs AB today announced that the company has been granted a patent in Taiwan relating to Atomic Layer Etch Pitch Splitting (APS)

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronics. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently, which means that smaller production facilities in, for example, Europe will be able to manufacture the components with manageable investments. Today, basically all production of leading-edge semiconductors takes place in the USA and Asia, and mainly in Taiwan and South Korea.

The company is now pleased to announce the first granted patent in Tawan. Taiwan is one of the most important markets for leading-edge semiconductor manufacturing and hence crucial for AlixLabs to protect its innovative APS process by IP. The Taiwanese semiconductor industry, including IC manufacturing, design, and packing, forms a significant part of Taiwan's IT industry. Due to its strong capabilities in fab wafer manufacturing and a complete industry supply chain, Taiwan has distinguished itself from its competitors and dominate the global marketplace. Taiwan is the unmatched leader of the worldwide semiconductor industry, with Taiwan Semiconductor Manufacturing Company (TSMC) alone accounting for more than 50% of the global market. In 2020, the sector accounted for US$115 billion in output. Recently, Terry Tsao (曹世綸), global chief marketing officer and Taiwan president of SEMI, said the country's semiconductor equipment spending is expected to continue to grow in 2022 and replace South Korea as the world's largest market next year.**

As previously announced (September 28, 2021), Almi Invest and private investors, including NHL professionals Michael, Alexander and William Nylander, are participating in the issue for a total of SEK 9 million (USD 1 million) investment in AlixLabs. The funds will be used for product development and validation of the APS technology.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted US patent, we are now delighted to announce that our Taiwan patent has also been granted and that we have more patent applications in the pipeline".


The Diploma to AlixLabs for the recently Granted Patent (TW I739812) in Taiwan issued by The Taiwan Intellectual Property Office (TIPO, 經濟部智慧財產局)

Dr. Mohammad Karimi, R&D and Operation Manager, added, "I am delighted that ALixLabs has been granted a 1 Million SEK project to verify the APS technology in the fabrication of FinFET and GAA-FET transistor architectures by Vinnova in June 2021".. Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing in Dresden, Germany and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).
** Wikipedia
*** September 15, 2021-Hsinchu News, SEMI (International Semiconductor Industry Association) https://www.semi.org/zh/world_fab_forecast_fabuctor Industry Association) https://www.semi.org/zh/world_fab_forecast_fab

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Friday, October 8, 2021

Forge Nano Installs Prometheus™ Powder Atomic Layer Deposition (PALD) equipment at Air Liquide's Tokyo Innovation Campus

Forge Nano, a global leader in surface engineering with atomic layer deposition (ALD) sells the top-of-the-line Prometheus™ development system. Air Liquide is using the system to validate applications for ALD-enabled powders in Japan and Globally.

DENVER and YOKOSUKA, Japan, Oct. 1, 2021 /PRNewswire/ -- Forge Nano, a Denver-based advanced materials equipment company, has installed one of their flagship Prometheus™ powder ALD (PALD) systems at Air Liquide Japan Ltd.'s Tokyo Innovation Campus. The Prometheus™ research and development system is being used by Air Liquide to validate ALD precursor chemicals for PALD applications for a variety of applications including battery materials for electric vehicles and electronic materials for consumer devices. Air Liquide, an investor in Forge Nano, is using the Prometheus™ system to perform various proofs-of-concept for subsequent scale-up at customer facilities using Forge Nano's commercial scale equipment.



Forge Nano Prometheus ALD Tool. Atomic Layer Deposition Equipment

"Forge Nano values Air Liquide as a key strategic investor and partner in various established markets. We're excited to provide them with a research system to accelerate adoption of PALD technology in Japan and globally for new applications. Once proofs of concept are completed by Air Liquide, we will provide end customers with commercial scale PALD equipment," Dr. Daniel Higgs, Director Product Management.

"Forge Nano is a recognized leader in the development and industrialization of cutting-edge powder-ALD processes. As the global leading ALD precursors "designer" and supplier, we are excited to install a Prometheus PALD system to support our discovery and scale-up of new ALD applications, together with our worldwide customers and partners," Nicolas Blasco, Air Liquide Advanced Materials, Global Director, Emerging Markets.

Prometheus™ PALD system:
The Prometheus™ PALD system is Forge Nano's flagship R&D system for ALD on powders. It incorporates over 20 years of powder ALD know-how and was designed for ease of use, research flexibility, and quality of coatings. With advanced powder fluidization technology and up to 8 ALD chemical precursor lines, Prometheus™ is the most capable powder ALD system on the market.

Prometheus features:
  • 1mL – 600ml (~1kg) of powder per batch.
  • Proprietary fluidization technology enables uniform fluidization and deposition
  • Up to 8 ALD chemical precursors connection enable a multitude of coatings
  • Interchangeable reactor bodies ensure precise coating of various batch sizes
  • Inert isolation and handling capabilities of air-sensitive powders
Forge Nano's team of ALD experts guide our customers through the entire process, making ALD feasible for nearly any industry. Forge's commercial systems for ALD on powders include Lithos™, Circe™, Morpheus™, while their systems for ALD on wafers/objects include Theia™, Apollo™, and Helios™.

About Forge Nano:
Based in Denver, CO., Forge Nano is a global leader in surface engineering and precision nano-coating technology, using Atomic Layer Deposition. Forge Nano's proprietary technology and manufacturing processes make angstrom-thick coatings fast, affordable and commercially viable for a wide range of materials, applications and industries. Forge Nano's suite of ALD and PALD products and services cover the full spectrum from lab-scale tools to commercial-scale manufacturing systems.
For more information visit www.ForgeNano.com

About Air Liquide Advanced Materials:
A world leader in gases, technologies and services for Industry and Health, Air Liquide is present in 78 countries with approximately 64,500 employees and serves more than 3.8 million customers and patients. Air Liquide Advanced Materials (ALAM) is transforming the science of electronics and technology. We innovate with atomic scale engineering to open up countless new possibilities in how the world lives, works and connects. Today, our ALOHA™ and Voltaix® products are critical to the manufacture of the newest computer chips required for Big Data and the Internet of Things.