Wednesday, June 3, 2015

Fujifilm and imec demonstrate full-color OLED with photoresist technology for organic semiconductors

FUJIFILM Corporation (President: Shigehiro Nakajima) (hereafter, “Fujifilm”) and nano-electronics research institute, imec (CEO: Luc Van den hove), have demonstrated full-color organic light-emitting diodes (OLED)*1 by using their jointly-developed photoresist technology*2 for organic semiconductors, a technology that enables submicron*3 patterning. This breakthrough result paves the way to producing high-resolution and large organic Electroluminescent (EL) displays and establishing cost-competitive manufacturing methods.


Organic EL displays are increasingly used for televisions, mobile devices including smartphones as well as wearable devices. Since they can be made thin and flexible, while also offering excellent response time and contrast ratio. It is said that today’s products require organic EL displays of high pixel density, i.e. around 200ppi*4 for 4K televisions, 500ppi for full HD mobile devices and even higher density for compact displays for wearable devices. There has been active R&D for organic semiconductors to develop a high-resolution patterning method for organic EL materials to be used in these products. 



In 2013, Fujifilm and imec jointly developed photoresist technology for organic semiconductors that enables submicron patterning without damaging the organic semiconductor materials, based on photolithography*5 capable of high-resolution patterning on large substrates. There is no need for additional capital investment since an existing i-line exposure system can be used for the new technology. This is why the technology has attracted wide attention since the development announcement with anticipation of a cost-effective way of manufacturing high-resolution organic semiconductor devices.

In the latest achievement, Fujifilm and imec produced full-color OLEDs with the photoresist technology for organic semiconductors and successfully verified their performance. Red, green and blue organic EL materials were patterned, each in the subpixel pitch of 20μm*6, to create full-color OLEDs. An OLED array of 40 x 40 dots at the resolution of 640ppi was realized and illuminated with UV rays to confirm that red, green and blue dots separately emitted light. The emission of red, green and blue lights was also confirmed in a test involving the application of voltage rather than illumination, confirming its correct performance.

These results open new opportunities, such as using the novel photolithography in a multiple patterning process. An example would be creating an OLED array that adds a fourth color to red, green and blue, as well as developing previously-unseen devices such as a new sensors that integrate OLED with the organic photodetector*7.

This research result is to be presented at the SID Display Week, one of the world’s largest international exhibitions for information displays, held in San Jose, California from May 31 to June 5, 2015.

Since the commencement of joint research in November 2012, Fujifilm and imec have broken through the boundary of conventional technology to contribute to the progress of technology associated with organic semiconductors, e.g., developing the photoresist technology for organic semiconductors that enables the realization of high-resolution submicron patterns. The two companies will continue to undertake cutting-edge R&D involving semiconductor materials, process technology and system integration, thereby contributing to resolving challenges faced by the organic electronics industry.

Tuesday, June 2, 2015

Search Your Next ALD Tool at mynexttool

Compare Scientific Instruments on mynexttool from Berlin, Germany. Mynexttool is a free-accessible scientific instruments database. Anyone can submit scientific instruments and help scientists to search and compare them easily. 

So far 24 ALD Tools are listed but the amount of information available is very basic - So www.ALDPulse.com is still the main source for comprehensive information on R&D ALD Tools on the market today.






PhD Position in Ultra Fast Atomic Layer Deposition in South of France

Trainee (PhD Student) Ultra Fast Atomic Layer Deposition of Nano-architectured materials for the energy transition

A Trainee (PhD student) Position is available at the Center for Interdisciplinary Nanoscience of Marseille (CINaM, http://www.cinam.univ-mrs.fr), UMR CNRS 7325 from Aix-Marseille University, France. The work will be performed in close collaboration with Encapsulix SAS. (http://www.encapsulix.com). The subject of the research is the creation of nano-engineered materials using Encapsulix parallel precursor wave ultrafast Atomic Layer Deposition technology. The goal of this multidisciplinary research is to provide the scientific basis of advanced functional and protective coating technology to be applied in a variety of energy related large area electronic devices such as photovoltaics, thin film batteries and ultracapacitors, low power OLED lighting, smart windows, etc. 
 

The work will encompass deposition of: 

  • Multifunctional Nano engineered Ultrabarriers : Single material (i. e. Al2O3) ultrabarriers have been shown to protect effectively against degradation of electronic components such as OLED’s and photovoltaic cells by moisture from the atmosphere, leading to significant increase in useful lifetime. The next step is the use of nano-engineered multilayers (such as (Al2O3/TiO2), (Al2O3/ZrO2) nanolaminates; ZnO/Al2O3/ZnO , sandwiches, etc.) optimized for protection against a variety of external sources of degradation such as charged Sodium diffusion, Ultraviolet light, salt water, etc. 
  • Complex substrate topographies: A unique feature of the ALD method is its ability to conformally cover complex substrate topographies such as high aspect ratio cavities and or re-entrant features. Such functionalized nano-structures will be used for energy storage and production purposes (Batteries, photo-electrochemical devices…).
The position is co-funded by the Région Provence Alpes Côte D’Azur and Encapsulix Personal Specification Applicants should, by the start date, hold a masters 2 degree (or equivalent) in physics, chemistry or materials science, chemical or electrical engineering, or a related discipline. An experience with operation of thin film deposition (in particular CVD or ALD) or characterization (e.g. TEM, AFM, XRD,...) equipment is useful. Candidates should demonstrate enthusiasm for pre-industrial research, and an interest in this particular field. They should be willing to take ownership of their part of the project and on-time delivery of its deliverables. They should demonstrate world class technical skills and excellent presentation ease. They should feel comfortable to work together with both academics and industrial partners. Location The work will be performed at two locations within the metropolitan area of Marseille - Aix en Provence in the South of France: The CINaM laboratory at the Luminy campus and the Encapsulix development Laboratory in Gardanne. Marseille and the Provence region benefit from a Mediterranean climate with year-long mild temperatures. In recent years, the region has developed a vibrant high tech industry in the fields of microelectronics, aerospace, advanced optics and renewable energies. Appointment and Starting Date

This position offers full-time employment for 36 month. The starting date should be October 1st, 2015 at the earliest. 

Information and Application

For more information on the position, please contact Lionel Santinacci (lionel.santinacci@univ-amu.fr ) or Jacques Kools (jkools@encapsulix.com). 
 
To apply, please send an application letter, along with curriculum vitae with the names of two referees who can be contacted, to us before July 15, 2015.






Roll-to-Roll CVD manufacturing of graphene

New manufacturing process could take exotic material out of the lab and into commercial products

That could finally change with a new process described this week in the journal Scientific Reports by researchers at MIT and the University of Michigan. MIT mechanical engineering Associate Professor A. John Hart, the paper’s senior author, says the new roll-to-roll manufacturing process described by his team addresses the fact that for many proposed applications of graphene and other 2-D materials to be practical, “you’re going to need to make acres of it, repeatedly and in a cost-effective manner.”


Diagram of the roll-to-roll process (a) shows the arrangement of copper spools at each end of the processing tube, and how a ribbon of thin copper substrate is wound around the central tube. Cross-section view of the same setup (b) shows the gap between two tubes, where the chemical vapor deposition process occurs. Photos of the system being tested show (c) the overall system, with an arrow indicating the direction the ribbon is moving; (d) a closeup of the copper ribbon inside the apparatus, showing the holes where chemical vapor is injected; and (e) an overhead view of the copper foil passing through the system (MIT News).


The new process is an adaptation of a chemical vapor deposition method already used at MIT and elsewhere to make graphene — using a small vacuum chamber into which a vapor containing carbon reacts on a horizontal substrate, such as a copper foil. The new system uses a similar vapor chemistry, but the chamber is in the form of two concentric tubes, one inside the other, and the substrate is a thin ribbon of copper that slides smoothly over the inner tube.

Gases flow into the tubes and are released through precisely placed holes, allowing for the substrate to be exposed to two mixtures of gases sequentially. The first region is called an annealing region, used to prepare the surface of the substrate; the second region is the growth zone, where the graphene is formed on the ribbon. The chamber is heated to approximately 1,000 degrees Celsius to perform the reaction.

The researchers have designed and built a lab-scale version of the system, and found that when the ribbon is moved through at a rate of 25 millimeters (1 inch) per minute, a very uniform, high-quality single layer of graphene is created. When rolled 20 times faster, it still produces a coating, but the graphene is of lower quality, with more defects.

Eureka moments in Nanochemistry – 2015 Centenary Award, Professor Geoffrey Ozin

Here is a fantastic article on Nanochemistry published in Materials Views - Eureka moments in Nanochemistry – 2015 Centenary Award


This article is an invited piece from Professor Geoffrey Ozin, University of Toronto, on his 2015 RSC Centenary Award for his work in defining, enabling and popularising a chemical approach to nanomaterials for innovative nanotechnology in advanced materials and biomedical science.


"In this Perspective I will look back over my careers work and reminisce, with the help of a few graphical depictions, about the “eureka moments” that led me to imagine and help develop the field of Nanochemistry. "

1, 2, 3, 4, 5, 6, 7 - ALD!

7. Multi-photon direct laser written (DLW) photonic bandgap nanomaterials

"In collaboration with colleagues at the Karlsruhe Institute of Technology, I used this nanofabrication method to invert a DLW polymer template in silica by atomic layer deposition. This enabled a subsequent inversion in silicon by disilane chemical vapor deposition, creating thereby a silicon replica of the original polymer template (Nature Materials 2006). Silicon photonic bandgap nanomaterials created by this inventive ‘double inversion’ method facilitate the development of silicon-based all-optical devices, circuits and chips with utility in optical telecommunication and computer systems. I spearheaded a creative extension of this work with single-step DLW in a high refractive index ‘inorganic’ photo-resist, arsenic sesquisulphide, As2S3. This opened the door to a large variety of new photonic bandgap materials and architectures that can be made by DLW without inversion of a sacrificial polymer template (ChemMater 2008)."



Monday, June 1, 2015

Centre for Process Innovation pilots Beneq’s breakthrough roll-to-roll ALD system for moisture barrier films

The UK-based Centre for Process Innovation is piloting Beneq’s groundbreaking roll-to-roll ALD system. Acquired in 2014 as CPI’s thin-film moisture barrier film development platform, the piloting activity is paving the way to produce ultra barrier films at significantly lower cost for applications in flexible PV, OLED and quantum dot films.
 

Broadening the reach of ALD

Spearheading new ALD technology development since 2005, Beneq has introduced to the market the world’s first commercial roll-to-roll (R2R) ALD-based system. The equipment, the Web Coating System WCS 600, enables continuous processing of flexible substrates in order to lower the manufacturing costs of ultra-barrier films. The equipment was commissioned at CPI’s NETPark facilities in Sedgefield, North East England in the summer of 2014 and has been successfully in operation since then.

CPI’s successful piloting of Beneq’s technology demonstrates its great potential in meeting the growing demand for barrier films used in flexible photovoltaics – including CIGS, DSSC and OPV solar cells, flexible OLED displays, quantum dot films for LCD and packaging applications. Moreover, collaborating with CPI, Beneq sees a valuable opportunity to further develop and accelerate the market acceptance of this disruptive and far-reaching technology, making it accessible to numerous industries.

 
CPI has been running their Beneq WCS 600 for R2R ALD in its NETPark facilities in Sedgefield, in North East England since 2014.
According to the CPI’s Vacuum Coating Technology Specialist, Dr Alf Smith, the results have proved to be very encouraging after seven months of using the unit. “We have been pleased to make progress so quickly, with the tool working well with only a few small issues to deal with. Barrier performance has been excellent at the lower line speeds we started at and have progressed now to higher line speeds while retaining the barrier performance. We have some way to go to meet our ultimate targets, but we see every possibility of reaching them in the coming months. Our intention is to continue optimizing the barrier performance as well as the productivity of it, while investigating the additional requirements for obtaining robust final products for both barrier films and directly encapsulated flexible devices.” says Dr Alf Smith.

International multidisciplinary interest

Some of the major CPI’s projects that involve the technology include the ongoing R2R-CIGS, a pan-European project for developing cost-effective R2R processes for continuous, high-volume manufacturing of flexible solar modules, and NanoMend, a project aimed at pioneering novel technologies for in-line detection, cleaning and repair of micro- and nano-scale defects on thin films deposited on large-area substrates.

Thin films produced using the ALD method are cost-efficient, pinhole–free and completely conformal, thus providing superior barriers and surface passivation. These properties make them ideal for numerous kinds of critical applications in flexible thin films. As the cost of conventional multilayer barrier films is typically prohibitively high, a thin layer of inorganic barrier film produced using R2R ALD technology provides a feasible low-cost solution.

 

Beneq’s WCS 600 enables continuous spatial application of pinhole-free and completely conformal thin films, such as moisture barriers, on flexible substrates.


Dr Mikko Söderlund, Head of Thin Film Encapsulation Solutions at Beneq, comments the work done and results achieved at CPI: “We are pleased to have had our R2R concept accepted and the equipment validated by such an esteemed player as the CPI. We are equally excited to witness the commitment by CPI to work with the technology, and of the promising initial results demonstrated after just seven months of running the WCS 600 system. CPI’s pioneering work is of great value to the industry, and many companies interested in the technology have already taken the opportunity to visit CPI to get a first-hand impression. I am confident that CPI’s ALD expertise will accelerate the adoption of this disruptive barrier technology.

Picosun Summer ALD News

Well in time for the AVS ALD 2015 International Confernce in Portland USA, Picosun releases Summer News 2015. The magazine is available online with some great stories and news and can be downloaded here and the main headlines you can see below: 


Picosun’s leading vacuum batch options win more market in MEMS, LED, and III-V
manufacturing

MEMS, LEDs, and other III-V compound semiconductor devices are central market segments for Picosun’s production ALD technology. In order to maintain the spearheading position as the solutions provider to these manufacturers, Picosun has built an extensive range of automatic vacuum batch sample handling systems optimized for the requirements of the above mentioned industries. Two examples are shown below, the PICOPLATFORM™ vacuum cluster system and the PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism


Versatile, multifunctional, high throughput PICOPLATFORM™ vacuum cluster systems have been a solid success since their launch. The substrate handling system of the PICOPLATFORM™ tool is based on Brooks MX™- or Marathon™ -series vacuum robot clustering units.


The PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism is optimal for e.g. MEMS manufacturing line, where the most part of the processing happens in horizontal geometry, in contrast to the vertical position required for the wafers in the ALD reactor. The flipping system picks the wafers from the loading cassette one by one and flips them into vertical position in the wafer holder for the ALD process step. During the unloading step, the wafers are again flipped back to horizontal position in the receiving cassette. Currently, the flipping system is optimized for handling a cassette of 25 pcs of 200 mm wafers.

Picosun’s Asian expansion continues in Taiwan

Picosun and National Chiao Tung University (NCTU) have established a Joint Industrial ALD Research Laboratory at the premises of NCTU’s X-Photonics Interdisciplinary Center in Hsinchu City, Taiwan.

 
The signing ceremony of the Joint Industrial ALD Research Laboratory. From left to right: ATOM SEMICON Vice President Mr. Bob Lin; CEO of Picosun Asia Pte. Ltd. and Applications Director of Picosun Oy, Dr. Wei-Min Li; Vice President for Research and Development of NCTU, Prof. Edward-Yi Chang; Chairman of the Board and CEO of Picosun Oy, Mr. Kustaa Poutiainen; Secretary General of NCTU, Prof. Hsin-Tien Chiu; and Associate Vice President, Office of International Affairs and Distinguished Professor, Department of Photonics and Institute of Electro-optical Engineering, Prof. Hao-Chung Kuo.

Large scale powder ALD enabled with POCA™ 300 and Picovibe™ technologies from Picosun

Following the recent news of Swedish Nanexa using ALD to coat medically active particles for precise drug delivery (PharmaShell® is a completely new drug delivery system) it is interesting to see that Picosun also offers a full scale production unit through the POCA and Picovibe technologies.


Picosun’s POCA™ 300 powder coating system allows ALD processing of large powder batches utilizing the company’s industry-standard PICOSUN™ P-300 reactor design.

Picosun extends ALD solutions portfolio for mechanical 3D part protection 

The unmatched quality of the ALD films and the ALD method’s ability to cover reliably and uniformly even the tiniest surface details make it an ideal technique for protective surface treatments for macroscopic 3D objects. Picosun’s production-proven ALD technology already enables anti-tarnish and decorative coatings on coins, watches, and jewelry parts, offering totally new, improved, environmentally friendly, and cost-efficient alternative to traditional surface protection methods. Now, the solutions portfolio has been extended to yet new product categories such as printed circuit boards (PCBs), medical implants, and, as an example of heavier machinery, engine, pump, and compressor parts.


Picosun’s production-proven ALD coating solutions are already in use in medical implant manufacturing. ALD is an ideal method for bioactive surface functionalization of titanium alloy dental implants, metal parts of artificial hips, and other implantable surgical devices, for improved patient safety and longer lifetime of the devic

Customer interviews:

  • Prof. Hao-Chung Kuo, National Chiao Tung University, Taiwan
  • Dr. Giuliana Impellizzeri, National Research Council, Catania, Italy
  • Dr. Jonas Sundqvist, Lund University, Sweden

Applications of Metal Cyclopentadienyl CVD and ALD Precursors by STREM

Here is a backgound blog by STREM in AZO Nano on why and when to use metal cyclopentadienyl precursors for CVD and ALD.



Applications of Metal Cyclopentadienyl Precursors

Cyclopentadientyl precursors have been used for the deposition via ALD of electroluminescent (EL) SrS and BaS thin films doped with Cu, Ce, Pb, Mn, or Eu.


The study results show that the use of the cyclopentadienyl-based dopants may lead to improvements in the performance of EL devices. Depositing noble metal films using ALD to be used in magnetic recording media and integrated circuits has been achieved using Cp complexes, such as Ru(EtCp)2, as precursors. Separately, ZrO2 thin films have been grown at 350ºC on silicon (100) substrates via ALD using (CpMe)2ZrMe2 and (CpMe)2Zr(OMe)Me with ozone as the oxygen source, causing deposition of highly conforming films onto high aspect ratio trenches.

HfO2 thin films with good dielectric properties have also been deposited onto p-Si(100) substrates through ALD using Cp2Hf(CH3)2 and water. Ultra-thin films of hafnium and zirconium oxides are considered to have the greatest potential to replace SiO2 as high-k gate oxides as semiconductor technology moves to 45 nm technology.

Sunday, May 31, 2015

Photoelectrochemical (PEC) water splitting technology using active ALD layers for enhanced performance

Researchers from Japan, including Fujifilm Corporation, has investigated how thin ALD NiO enhance the performance of  photoelectrochemical (PEC) devices that can be used in future water splitting plants scalable production of renewable hydrogen fuels. To do so they used a BENEQ TSF reactor for deposition of the NiOx layer. There findings were published in Journal of American Chemical Society recently online (abstract below). The conclusion was that depositing NiO on the surfaces of CoOx/BiVO4 electrodes by ALD using the Beneq TFS 200 system enhanced the performance (higher current density at lower potential) for the PEC devices.


A look inside a Beneq TFS 200 reactor (www.beneq.com)

Surface Modification of CoOx Loaded BiVO4 Photoanodes with Ultrathin p-Type NiO Layers for Improved Solar Water Oxidation

Miao Zhong, Takashi Hisatomi, Yongbo Kuang, Jiao Zhao, Min Liu, Akihide Iwase, Qingxin Jia, Hiroshi Nishiyama, Tsutomu Minegishi, Mamiko Nakabayashi, Naoya Shibata, Ryo Niishiro, Chisato Katayama, Hidetaka Shibano, Masao Katayama, Akihiko Kudo, Taro Yamada, and Kazunari Domen

J. Am. Chem. Soc., 2015, 137 (15), pp 5053–5060 DOI: 10.1021/jacs.5b00256 Publication Date (Web): March 24, 2015






Depositing NiO on the surfaces of CoOx/BiVO4electrodes by atomic layer deposition (ALD) using the Beneq TFS 200 system enhanced the performance (higher current density at lower potential) for the PEC devices.

Photoelectrochemical (PEC) devices that use semiconductors to absorb solar light for water splitting offer a promising way toward the future scalable production of renewable hydrogen fuels. However, the charge recombination in the photoanode/electrolyte (solid/liquid) junction is a major energy loss and hampers the PEC performance from being efficient. Here, we show that this problem is addressed by the conformal deposition of an ultrathin p-type NiO layer on the photoanode to create a buried p/n junction as well as to reduce the charge recombination at the surface trapping states for the enlarged surface band bending. Further, the in situ formed hydroxyl-rich and hydroxyl-ion-permeable NiOOH enables the dual catalysts of CoOx and NiOOH for the improved water oxidation activity. Compared to the CoOx loaded BiVO4(CoOx/BiVO4) photoanode, the ∼6 nm NiO deposited NiO/CoOx/BiVO4 photoanode triples the photocurrent density at 0.6 VRHE under AM 1.5G illumination and enables a 1.5% half-cell solar-to-hydrogen efficiency. Stoichiometric oxygen and hydrogen are generated with Faraday efficiency of unity over 12 h. This strategy could be applied to other narrow band gap semiconducting photoanodes toward the low-cost solar fuel generation devices.

Epitaxial growth of GaN nanowires on metallic TiN by Paul-Drude-Institut, Berlin

Paul-Drude-Institut für Festkörperelektronik in Berlin has recently published a paper (below) on how to grow GaN Nanowires on TiN. From a silicon based semiconductor device perspective this is very interesting results since TiN can be used to make ohmic contact to silicon. For instance the is used in most DRAM Capacitor cells today where the word line is connected by a TiN/Ti/TiSi/Si ohmic contact. This technology was invented by Qimonda - The buried Word Line technology that was introduced at 65 nm and has been transferred to many other companies since then (Winbond, Micron, Elpida, ...). Or as the researchers at the Paul-Drude-Institut states:  

"The freedom to employ metallic substrates for the epitaxial growth of semiconductor nanowires in high structural quality may enable novel applications that benefit from the associated high thermal and electrical conductivity as well as optical reflectivity."


Epitaxial Growth of GaN Nanowires with High Structural Perfection on a Metallic TiN Film 

M. Wölz , C. Hauswald , T. Flissikowski , T. Gotschke , S. Fernández-Garrido , O. Brandt , H. T. Grahn , L. Geelhaar *, and H. Riechert 
Nano Lett., Article ASAP DOI: 10.1021/acs.nanolett.5b00251 
Publication Date (Web): May 22, 2015


Vertical GaN nanowires are grown in a self-induced way on a sputtered Ti film by plasma-assisted molecular beam epitaxy. Both in situ electron diffraction and ex situ ellipsometry show that Ti is converted to TiN upon exposure of the surface to the N plasma. In addition, the ellipsometric data demonstrate this TiN film to be metallic. The diffraction data evidence that the GaN nanowires have a strict epitaxial relationship to this film. Photoluminescence spectroscopy of the GaN nanowires shows excitonic transitions virtually identical in spectral position, line width, and decay time to those of state-of-the-art GaN nanowires grown on Si. Therefore, the crystalline quality of the GaN nanowires grown on metallic TiN and on Si is equivalent. The freedom to employ metallic substrates for the epitaxial growth of semiconductor nanowires in high structural quality may enable novel applications that benefit from the associated high thermal and electrical conductivity as well as optical reflectivity.

ALD processing using HF to grow AlF3

Here is a interesting paper from Steven George in on growing AlF3 using HF. I haven´t seen anyone growing ALD films with HF before and usually you think of etching or surface hydrogen termination and growth passivation when HF is employed. As usual a lot of QCM growth characterization is used by the Boulder guys. The authors states that AlF3 ALD may be useful for a number of applications such as ultraviolet optical films, protective coatings for the electrodes of Li ion batteries and Lewis acid catalytic films.


Atomic Layer Deposition of AlF3 Using Trimethylaluminum and Hydrogen Fluoride 

Younghee Lee , Jaime W. DuMont , Andrew S. Cavanagh , and Steven M. George
J. Phys. Chem. C, Just
Accepted Manuscript
DOI: 10.1021/acs.jpcc.5b02625 
Publication Date (Web): May 27, 2015

The atomic layer deposition (ALD) of AlF3 was demonstrated using trimethylaluminum (TMA) and hydrogen fluoride (HF). The HF source was HF-pyridine. In situ quartz crystal microbalance (QCM), quadrupole mass spectrometer (QMS) and Fourier transform infrared (FTIR) spectroscopy measurements were used to study AlF3 ALD. The AlF3 ALD film growth was examined at temperatures from 75C to 300C. Both the TMA and HF reactions displayed self-limiting behavior. The maximum mass gain per cycle (MGPC) of 44 ng/(cm2 cycle) for AlF3 ALD occurred at 100C. The MGPC values decreased at higher temperatures. The MGPC values were negative at T> 250C when TMA and HF were able to etch the AlF3 films. Film thicknesses were also determined using ex situ X-ray reflectivity (XRR) and spectroscopic ellipsometry (SE) measurements. The AlF3 ALD growth rate determined by the ex situ analysis was 1.43 Å/cycle at 100C. These ex situ measurements were in excellent agreement with the in situ QCM measurements. FTIR analysis monitored the growth of infrared absorbance from Al-F stretching vibrations at 500-800 cm-1 during AlF3 ALD. In addition, absorption peaks were observed that were consistent with AlF(CH3)2 and HF species on the surface after the TMA and HF exposures, respectively. X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) measurements revealed that the deposited films were nearly stoichiometric AlF3 with an oxygen impurity of only ~2 at%. AlF3 ALD may be useful for a number of applications such as ultraviolet optical films, protective coatings for the electrodes of Li ion batteries and Lewis acid catalytic films.

Saturday, May 30, 2015

Hydrophobic graphene coating could make power plants more efficient

Product Design & Development reports that a team of researchers at MIT has developed a way of coating condenser surfaces with a layer of graphene, just one atom thick, and found that this can improve the rate of heat transfer by a factor of four — and potentially even more than that, with further work. And unlike polymer coatings, the graphene coatings have proven to be highly durable in laboratory tests.


An uncoated copper condenser tube (top left) is shown next to a similar tube coated with graphene (top right). When exposed to water vapor at 100 degrees Celsius, the uncoated tube produces an inefficient water film (bottom left), while the coated shows the more desirable dropwise condensation (bottom right). Picture from www.pddnet.com - Courtesy of the researchers

The findings are reported in the journal Nano Letters by MIT graduate student Daniel Preston, professors Evelyn Wang and Jing Kong, and two others. The improvement in condenser heat transfer, which is just one step in the power-production cycle, could lead to an overall improvement in power plant efficiency of 2 to 3 percent based on figures from the Electric Power Research Institute, Preston says — enough to make a significant dent in global carbon emissions, since such plants represent the vast majority of the world’s electricity generation. “That translates into millions of dollars per power plant per year,” he explains.

Extremely thin hydrophobic coating is also obviously an open field for clever ALD solutions. Here is a recent report on conventional hydrophobic coating technologies from Vanderbilt University taking a    closer look at the US market.





The history of hydrophobic coating technologies

Thursday, May 28, 2015

Asenov claims Nanowire transistors (NWT) favourite to succeed FinFET at 5 nm

Nanowire transistors are the most likely successor to finfets and will scale to 5nm, says Professor Asen Asenov, Professor of Electrical Engineering at Glasgow University and CEO of Gold Standard Simulations (GSS) which specialises in the predictive simulation of nano-CMOS devices including statistical variability and reliability.



”While 16/14nm FinFETs are about to enter volume production at the major foundries, the next generation transistors suitable for 7nm CMOS and below are already on the drawing board,” says Asenov, “one of the best candidates “Gate all around” transistors, better known as nanowire transistors (NWT), have superior scaling properties compared to FinFETs and can be scaled to channel lengths of approximately 5nm. ”


Mobile charge distribution in a NWT with different cross-sections suitable for 7nm CMOS technology. Due to quantum mechanical confinement effects ‘strange’ patterns determine the Source' Drain' Gate' Spacer' Channel' positions of the current flow in the nanowire cross-section (Picure from GSS).

Building an advanced PEALD chamber out of a scrap AMAT P5000

Here is a story about a cool guy building advanced PEALD chamber out of a scrap AMAT P5000. I´m thinning we want to know all the details since then there are a lot of them out there to convert fro ALD! Parts of the story down here:

Lion Surplus serves as a repository for equipment, furniture and other supplies that Penn State departments no longer need. The store is open to the general public as well as the University community.



“Last spring we bought two optical tables at Surplus,” Jackson said. “They’re these big, heavy tables that have a vibration isolation system for setting up optically based experiments. One of them is now in a lab in the Millennium Science Center and it’s used as part of a project sponsored by Dow Chemical on flexible and printed electronics. The other one went to a relatively new faculty member here in electrical engineering who is starting up and growing his research program. So we got the advantage of low cost, and this repurposed equipment is serving Penn State research in an important way.”

Jackson offered an example of another valuable find: several years ago he spotted a nondescript metal box at Surplus. Recognizing the box as the chamber from an Applied Materials P5000, a commercial semiconductor process tool, he bought it at a low cost.

“My students and I used this as the starting point to build a new tool — a plasma enhanced atomic layer deposition system,” he said. “Now we have a system that allows us to do things that no expensive commercial system would. And my students’ understanding of this is far beyond what it would be if we had just bought a commercial system. The students have a sense of ownership.”

According to this publication (ZnO thin film transistors by low temperature deposition plasma-enhanced atomic layer deposition in a showerhead reactor) the AMAT P5000 PEALD reactor has the following features and capabilities after the upgrade:
  • The system is based on an Applied Materials P5000 showerhead chamber and uses a manual, single wafer, load lock. 
  • A load-locked, constant pressure, constant flow, showerhead, weak oxidant, PEALD system. 
  • A simple sample heating stage is used with either 200 mm wafers or smaller samples on aluminum 200 mm carriers. 
  • The system operates in a constant flow, constant pressure mode, with reactants and carrier gases switched in or out of the total gas flow as needed. In this mode, the sample is always exposed to gases exiting the showerhead, with little or no mixing from downstream gases. This approach also allows fast changes of reaction gas components. 
  • Typical deposition pressure is 1.5 torr and typical total flow is 1150 sccm. 
  • The volume of the region between the showerhead and substrate is about 1 liter, resulting in a gas residence time of about 100 milliseconds.

Wednesday, May 27, 2015

Swedish Nanexa demonstrate ALD controlled drug delivery

PharmaShell® is a completely new drug delivery system from Nanexa and has demonstrated great potential to revolutionize drug formulation in the future. PharmaShell® is based on containment of microscopic drug particles, which allow for new possibilities for targeting and dosing of drugs with higher precision.



Many drugs today are used as small particles and they can be administered in several ways, e.g. intravenous, orally or by inhalation. PharmaShell® provides a technique where solid drug particles in the size range of nanometers to micrometers are completely contained. The containment is provided by creating a shell, with a thickness of a few nanometers, on the surface of the drug particles. The shell is made from a mineral compound which has low solubility. This allows the shell to completely dissolve and exit the human body. The release of the contained drug is rigorously controlled by the predetermined thickness of the shell, a thicker shell takes longer time to dissolve and vice versa. In this way the extent of therapeutic time can be tailored.



Powder sample loaded into a Picosun ALD reactor.


PharmaShell® is synthesized directly on the surface of drug particles, which gives a drug load that is extremely high. The “drug load” is defined as weight of active drug in a formulation by the total weight. A high drug load in competing drug delivery systems is around 20%, with PharmaShell® the drug load is rarely below 70%.

In order to create the shell on drug particles we use a chemical deposition technique called Atomic Layer Deposition, ALD. ALD enable growth of well-controlled shells on nanoparticles in a way that no other techniques can.

A further advantage with PharmaShell® is that we provide a well-defined outer surface of the coated particles. The surface provided by PharmaShell® is covered by chemically bonded hydroxyl groups, which are most suitable for further binding of other molecules, such as targeting molecules that can otherwise be difficult to bind to surfaces of drug particles.

PharmaShell® also contributes to longer shelf life of the coated drugs. Extremely small amounts of oxygen and water can react with solid drug particles and destroy their function or merge them into larger particles. PharmaShell® is proven to be completely dense which effectively prevents oxygen, water or other gaseous compounds from penetrating and ruining the drug.

Tuesday, May 26, 2015

ALD Nano företaget Nanexa genomför nyemission inför listning


Nanexa genomför en nyemission inför noteringen på AktieTorget. Nyemissionen ska främst finansiera det fortsatta arbetet för att utveckla Bolagets innovativa drug delivery-system PharmaShell® genom att förstärka befintliga samarbeten samt utveckla nya samarbeten med ledande läkemedelsbolag.

(Information in English on PharmaShell: http://baldengineering.blogspot.fi/2015/05/swedish-nanexa-demonstrate-ald.html)

Teckningskursen är 9,00 kronor per aktie och teckningstiden löper från den 21 maj till den 5 juni 2015. Emissionen kommer vid full teckning tillföra Nanexa AB 10,4 miljoner kronor före emissionskostnader och antalet nyemitterade aktier vid full teckning uppgår till 1 150 000 stycken. Nanexa har i samband med erbjudandet erhållit teckningsförbindelser från Bolagets aktieägare Rutger Arnhult via M2 Capital Management AB och Patrik Tillman via Kattvik Financial Services AB om 1,8 mkr, motsvarande cirka 18 procent av emissionsbeloppet. Därtill har Bolaget ingått avtal om emissionsgarantier om 5,4 mkr motsvarande cirka 52 procent av emissionsbeloppet. Således är emissionen säkerställd till 70 procent. 


Bakgrund och motiv 

Nanexa utvecklar och marknadsför produkter inom nanoteknologi. Bolagets fokus ligger på PharmaShell® som är ett nytt drug delivery-system med potentialen att ge nya läkemedel specifika och avgörande egenskaper. Tekniken som använts, Atomic Layer Deposition (ALD), har av Nanexa anpassats till och utvecklats för att belägga nanometerstora läkemedelspartiklar. ALD-tekniken innebär att läkemedelspartiklar kan förses med önskat antal atomlager av en given kemisk substans, vilket skapar helt nya förutsättningar för läkemedelsformuleringar och läkemedelsutveckling. 



PharmaShell adresserar stora medicinska behov. Marknaden för nanobaserade drug delivery-system är under stark expansion och prognosticeras att växa från 30 miljarder USD 2015 till 136 miljarder USD år 2021. En viktig trend inom läkemedelsindustrin sätt att arbeta med drug delivery handlar om att styra läkemedlet till vävnad som skall behandlas och minska mängden läkemedel i övriga kroppen. På så sätt uppnås en ökad behandlingseffekt och minskade biverkningar. PharmaShell® bidrar till ökad cirkulationstid i blodbanan och ökar möjligheten att koppla målstyrningsmolekyler till skalet som binder mot endast en typ av vävnad, exempelvis en tumör eller specifika platser i hjärtat eller andra organ. I maj 2013 ingicks ett samarbete med AstraZeneca kring utvecklingen av PharmaShell®. Avtalet med AstraZeneca är ett så kallat ”Material Transfer and Feasibility Study Agreement”. 

Emissionskapitalet ska användas till att ta produkten PharmaShell® från så kallat proof of concept till validerad produkt, samt till investeringar i övrig produktportfölj, immateriella rättigheter, marknadsföring samt rörelsekapital. 

Kolla in här för mer information:

MISOKA - The Nano CMP tooth brush from Japan

MISOKA - A new tooth brush from Japan uses nanotechnology to clean your teeth without the use of tooth paste. To me this seems to be pretty advanced technology from Chemical Mechanical Polishing (CMP) used in the semiconductor industry to planarize interconnects. All information below from the company webpage (http://www.misoka.jp/salone2015/salone2015-en.html).



Simply moisten the bristles and brush your teeth. The MISOKA toothbrush cleans your teeth by using the action of nano-sized mineral particles on the bristles to remove plaque from the surfaces of your teeth. It also gives the surfaces a smoother feel by making them more hydrophilic. This ground-breaking new toothbrush gives you the confidence of knowing you have brushed your teeth properly, leaving the inside of your mouth feeling fresher by making it more difficult for plaque and other material to stick to your teeth. So long as you don’t brush so hard that it hurts your gums, each MISOKA toothbrush will last for about one month.



  • Nano-sized mineral ions on the brush bristles help clean plaque from the teeth.
  • Brushing leaves an ion coating on the surface of the teeth that makes it more difficult for plaque and other material to adhere.
This picture looks a bit like an ALE process... The Atomic Layer Brush :-)

And yes you can buy it on Amazon for 14 US Dollar and until now over 2 million has been sold. 

MISOKA Toothbrush



Price:$14.12 FREE Shipping
In stock.
Ships from and sold by K-I-M-JAPAN.
    Estimated Delivery Date: June 1 - 4 when you choose Expedited at checkout.
    • Size (about): [package] width 20 × 4.5 × height back 2cm, 1cm length of [body] pattern 18.5 × 1.3cm hair
    • Material: [pattern] AS resin, [hair] nylon
    • Normal: Hardness of the hair




    Monday, May 25, 2015

    China's nano composite zirconia market capacity approximated at 7,000 tons in 2014

    For those of you ALD guys devoted to high-k this might be interesting facts. As reported by AZONano recently: Nano Zirconia, with zirconium dioxide as key ingredient, constitutes nano composite zirconia after being added with rare-earth elements (primarily yttrium oxide) and other oxides. Nano composite zirconia gets widely used in fields like grinding materials, coating, fuel cell, artificial teeth, automobile exhaust catalyst promoter, etc.

    As its industrialization is under way for just three decades, nano composite zirconia's applications in only grinding media and oxygen sensor have become mature, while other applicable areas are experiencing a fast growing period and even at the initial stage. 
    • In 2014, the global sales volume of nano composite zirconia totaled roughly 40,000 tons, which generated revenue of RMB14 billion. 
    • China's nano composite zirconia market capacity approximated 7,000 tons.

    Globally, the nano composite zirconia capacity spreads mainly in Europe and Japan, with the companies with complete industrial layout including Saint-Gobain, Tosoh, and DKKK. In addition, the players like Showa Denko, Solvay, and Sumitomo Osaka Cement occupy an important position in some market segments.

    Domestically, only Sinocera and Jiujiujiu were the first to make technological breakthroughs and began pilot production. Sinocera's scale of pilot production totaled 200t/a. In the first half of 2014, its nano composite zirconia segment contributed revenue of RMB4.809 million, versus the operating cost of RMB2.961 million. Additionally, the company announced a 1,500 t/a expansion plan, according to which the products (700 tons) from the first phase of the project would be very likely released in the second quarter of 2015.

    Jiujiujiu has developed the about 10nm, 20nm, 40nm, and 80nm series of nano composite zirconium dioxide powder. At present, the company's pilot project is in trial production.

    Continued support for molecules which function like machines with another 8.9 million EUR

    Nanotechnology project on molecular machines receives its third round of funding (Nanowerk News) Great excitement at Kiel University: As the DFG (German Research Foundation) announced it will continue to support the research on molecules which function like machines with another 8.9 million EUR. 



    This funding will allow the scientists in Germany's northernmost state to develop new engineering techniques for building tiny machine-like molecules over the next four years. The ultimate miniaturisation of engineering functions should improve the efficiency of energy conversion systems, medicines, diagnostic methods and materials. Moreover, completely new areas of applications will open up along this line. 

    The Collaborative Research Centre 677 (SFB 677) "Function by Switching" now starts into the third and final funding period. Collaborative Research Centres are supported for a maximum of twelve years. They are highly competitive and prestigious flagship institutions at German universities. In total, around 100 scientists from the fields of chemistry, physics, material sciences and medicine collaborate in this Kiel based research network. 


    Subproject Overview

    Project Area A

    First and foremost, we will synthesize the elementary molecular switches as well as their neighboring environment (supramolecular aggregates) in homogeneous solution using classical methods of synthetic chemistry. Elemental processes, e.g. the switching process and its mechanism, will also be investigated in solution first, as there are efficient analytical methods available for this environment. We will benefit from these results to establish and optimize the application of the molecules on surfaces (project area B) and in functional materials (project area C).
    show list of projects in area A

    Project Area B

    Arranging and operating switching molecules on surfaces comprises the subprojects in area B. The alignment of the switches on the surface, i.e. distance and orientation of the switches with respect to the surface as well as to each other, is an essential requirement to achieve a programed function. Scanning tunneling microscopy and spectroscopy are availabe to characterize surfaces. Various efficient surface sensitive techniques will be used to to confirm the switching process and the triggered function.
    show list of projects in area B

    Project Area C

    The incorporation of molecular switches into functional materials such as coordination polymers, pores or nanocomposites facilitates the switching of properties such as conductivity, refraction, diffusion or adsorption. Target applications include switchable storage media as well as optical and molecular filters.
    show list of projects in area C

    Project Area Z

    Project area Z contains the collaborative research center's central projects, i.e. the research training group as well as public relations.
    show list of projects in area Z


     

    The 20 Biggest ALD Customers 1Q / 2015

    Here it is the biggest ALD Customers 1Q / 2015 :-)


    The first Russian PEALD Sytem presented at VacuumTechExpo2015 in Russia

    Research Institute of Precise Machine Manufactory has been awarded for ALD coating unit at VacuumTechExpo2015. This is first R&D PEALD system designed and manufactured in Russia. The company locates in Zelenograd, Moscow.






    The new ALD coating unit with remote inductively coupled plasma source and heated substrate holder.


    Research Institute of Precision Machine Manufacturing (NIITM) was established in 1962 in Zelenograd to become a key enterprise with the main focus on creation of specific processing equipment for electronic industry. Equipment based on NIITM development formed the foundation of semiconductor production in Russia and Soviet republics.



    Equipment of the company was exported to the CMEA countries and China. Nowadays Research Institute of Precision Machine Manufacturing belongs to a group of companies "Micron" - the largest producer and exporter of integrated circuits in Russia and the CIS countries.

    Research and production activities of NIITM are marked by a variety of fundamental and applied researches and developments: a number of scientific works are published; more than 600 certificates for the invention and patents are received.



    Innovative projects of the company are awarded by state decorations, Orders and Medals at international exhibitions.

    NIITM offers services for development of a wide range of research and industrial technological equipment and supplies vacuum-plasma and physical and thermal chambers as well as clusters based on it for process implementation in nano-, micro- and electronics, medicine, solar energy, etc.

    Sunday, May 24, 2015

    ALD tantalum oxide in a passivation stack for silicon solar cells

    This is an interesting paper on Ta2O5 ALD for surface passivation in silicon based solar cells. As the authors point out, despite more than four decades of work on Ta2O5, no attempt has yet been made to study this material on c-Si as an electronic passivating layer. Ta2O5 has excellent optical properties:

    • a relatively high refractive index 
    • a negligible absorption in the visible range

    This is why Ta2O5 is often used as antireflection coating (ARC). The work below by researchers at The Australian National University in Canberra was performed in a Picosun R200 Advanced ALD reactor using Tantalum Ethoxide as tantalum precursor and H2O as the oxidant at 250 °C. The reactor is located at the Australian National Fabrication Facility (ANFF).


    Established under the National Collaborative Research Infrastructure Strategy, the Australian National Fabrication Facility (ANFF) links 8 university-based nodes to provide researchers and industry with access to state-of-the-art fabrication facilities (http://www.anff.org.au).

    Tantalum oxide/silicon nitride: A negatively charged surface passivation stack for silicon solar cells (Open Access)

    Yimao Wan, James Bullock and Andres Cuevas
    Appl. Phys. Lett. 106, 201601 (2015); http://dx.doi.org/10.1063/1.4921416 

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layerdeposited tantalum oxide (Ta2O5) underneath plasma enhanced chemical vapour depositedsilicon nitride (SiNx). Cross-sectional transmission electron microscopy imaging shows an approximately 2 nm thick interfacial layer between Ta 2O5 and c-Si. Surface recombination velocities as low as 5.0 cm/s and 3.2 cm/s are attained on p-type 0.8 Ω·cm and n-type 1.0 Ω·cm c-Si wafers, respectively. Recombination current densities of 25 fA/cm2 and 68 fA/cm2 are measured on 150 Ω/sq boron-diffused p + and 120 Ω/sq phosphorus-diffused n + c-Si, respectively. Capacitance–voltage measurements reveal a negative fixed insulator charge densityof −1.8 × 1012 cm−2 for the Ta 2O5 film and −1.0 × 1012 cm−2 for the Ta 2O5/SiNx stack. The Ta2O5/SiNx stack is demonstrated to be an excellent candidate for surface passivation of high efficiency silicon solar cells.