Showing posts with label ASMI. Show all posts
Showing posts with label ASMI. Show all posts

Wednesday, April 21, 2021

ASM International and ALD opens up 2021 with a strong quarter in Logic & Foundry segment

ASM International N.V. (Euronext Amsterdam: ASM) today reports its first quarter 2021 operating results (unaudited) in accordance with IFRS.

  • New orders at €411 million were 8% above the level of last quarter.
  • Revenue for the first quarter of 2021 was €394 million and increased 14% compared to the previous quarter due to strong market demand.
  • The gross profit margin was 49.5% in Q1 2021 compared to 45.2% in the previous quarter, mainly due to a favorable mix.
  • The operating result was €125 million compared to €78 million in the previous quarter.
  • Normalized net earnings for the first quarter of 2021 were €125 million, €43 million higher compared to Q4 2020.
LINKS
Q12021 Presentation: LINK
Earings Call Transcript (Seeking Alpha): LINK
  • Gartner expects WFE to increase by 23% in 2021 (April 2021), up from +8% still predicted in December 2020
  • VLSI Research expects WFE to increase by 22% in 2021 (April ’21), up from a previous forecast of +12% last February

“2021 started very strong for ASM,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “In the first quarter, we realized revenue of €394 million, well within our guidance of €380-400 million and up 14% from the level in Q4. The revenue level was again driven by continuous high demand in the logic/foundry segment. Our Q1 order intake, at €411 million, was up 8% from an already high level in Q4 and ahead of our guidance of €380-400 million, driven by solid demand in logic/foundry. Our gross margin was at a high level of 49.5%, caused by a favorable mix, while our operational cash flow showed a record high level in Q1. Based upon the strong cash position at the end of March, we plan to start a new €100 million share buyback program.”

Outlook

For Q2, on a currency comparable level, we expect revenue of €390-€410 million. Q2 bookings, on a currency comparable level, are expected to be in the range of €420-€440 million.

“Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high-teens to low twenties percentage in 2021.”

Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high-teens to low twenties percentage in 2021. 2021 is expected to develop in another year of solid growth for ASM. Based upon our current view, we expect our sales in the second half to be at least at the same level as in the first half.

ALD and New Market segment

"Moving to the longer-term outlook, ALD continues to be an important, long-term growth market for ASM. For 2020, we estimate the single wafer ALD market, to reach the size of US$1.5 billion in line with the expectations we put out several years ago. We are currently reviewing our focus and expect to present the new market for customers later in the year. However, it is clear to us that ALD will remain the fastest-growing segment of the deposition market, with substantial, double-digit growth potential in the coming years. ALD is a critical technology for our industry to develop the next generation's faster processes and memory devices that in turn will enable the growth of key markets such as in 5G and artificial intelligence." - Benjamin Loh

DRAM and High-k/Metal Gate adaption with ALD

ASM's recent most significant achievement in expanding our memory presence has been in ALD wins for high-k metal gate in the high-performance DRAM segment, with the leading memory players. It is a key technology that reduces device leakage and thereby supports increases in both energy efficiency and device performance. As reported earlier, ASM books their first meaningful sales for this application last year and expects it to support a healthy increase in our DRAM sales this year.

What has been launched today is primarily what is called the high-performance DRAM segment or sub-segment within the DRAM market and it has been adopted by all the DRAM manufacturers.

ASM ALD Market Share

According to the information given in the call, Gartner report ASM´s market share in the single wafer ALD market has grown from 57% to 60% during 2020 and Benjamin Loh agreed that that estimate is in the right direction. 


Friday, March 26, 2021

Samsung confirms first HKMG for DDR5 DRAM

ASM International recently acknowledged that ALD High-k/Metal Gate (HKMG) is finally in high volume production for DRAM (LINK). Now Samsung confirms that. This is a small victory for all people working on this process for such a long time. My first tool ownership when I moved to Germany and started at Infineon was an ASM Polygon 200 mm cluster with a Pulsar 2000 chamber running HfO2, TiN, TiHfN, TiAlN, Al2O3, and my not fully understood HfN ALD process and a Poly chamber that I never really cared too much about. Press release below - and now do the maths - how big this business is once rolled out for all DRAM technologies to come - yeah $$$, many tulips indeed.



Samsung Develops Industry’s First HKMG-Based DDR5 Memory; Ideal for Bandwidth-Intensive Advanced Computing Applications

512GB capacity DDR5 module made possible by an 8-layer TSV structure
HKMG material reduces power by 13 percent while doubling the speed of DDR4


Samsung Electronics, the world leader in advanced memory technology, today announced that it has expanded its DDR5 DRAM memory portfolio with the industry’s first 512GB DDR5 module based on High-K Metal Gate (HKMG) process technology. Delivering more than twice the performance of DDR4 at up to 7,200 megabits per second (Mbps), the new DDR5 will be capable of orchestrating the most extreme compute-hungry, high-bandwidth workloads in supercomputing, artificial intelligence (AI) and machine learning (ML), as well as data analytics applications.



“Samsung is the only semiconductor company with logic and memory capabilities and the expertise to incorporate HKMG cutting-edge logic technology into memory product development,” said Young-Soo Sohn, Vice President of the DRAM Memory Planning/Enabling Group at Samsung Electronics. “By bringing this type of process innovation to DRAM manufacturing, we are able to offer our customers high-performance, yet energy-efficient memory solutions to power the computers needed for medical research, financial markets, autonomous driving, smart cities and beyond.”

“As the amount of data to be moved, stored and processed increases exponentially, the transition to DDR5 comes at a critical inflection point for cloud datacenters, networks and edge deployments,” said Carolyn Duran, Vice President and GM of Memory and IO Technology at Intel. “Intel’s engineering teams closely partner with memory leaders like Samsung to deliver fast, power-efficient DDR5 memory that is performance-optimized and compatible with our upcoming Intel Xeon Scalable processors, code-named Sapphire Rapids.”

Samsung’s DDR5 will utilize highly advanced HKMG technology that has been traditionally used in logic semiconductors. With continued scaling down of DRAM structures, the insulation layer has thinned, leading to a higher leakage current. By replacing the insulator with HKMG material, Samsung’s DDR5 will be able to reduce the leakage and reach new heights in performance. This new memory will also use approximately 13% less power, making it especially suitable for datacenters where energy efficiency is becoming increasingly critical.

The HKMG process was adopted in Samsung’s GDDR6 memory in 2018 for the first time in the industry. By expanding its use in DDR5, Samsung is further solidifying its leadership in next-generation DRAM technology.

Leveraging through-silicon via (TSV) technology, Samsung’s DDR5 stacks eight layers of 16Gb DRAM chips to offer the largest capacity of 512GB. TSV was first utilized in DRAM in 2014 when Samsung introduced server modules with capacities up to 256GB.

Samsung is currently sampling different variations of its DDR5 memory product family to customers for verification and, ultimately, certification with their leading-edge products to accelerate AI/ML, exascale computing, analytics, networking, and other data-intensive workloads




Saturday, March 6, 2021

ASM International confirms that ALD HKMG is in High Volume Manufacturing for DRAM - The 2nd Switch is on!

I get this question continuously and also use it for modeling the high-k precursor forecast as provided by TECHCET - has ALD High-k/Metal Gate stacks moved into DRAM peripheral transistors?

When at Qimonda (R.I.P) we developed a HfSiO process or the peripheral Logic and qualified a number of OEMs for this one including ASM Pulsar 3000, Jusung Cyclone+ Spatial ALD, and TEL Furnace ALD. ASM has since Intel 45 nm been the leader in the HKMG module. Later they also fended off the competition from Applied Materials and Tokyo Electron MOCVD option trying to enter the foundries that were just too hot for the integration moving to lower thermal budgets. 

So now finally I can give an answer with a public reference to the question - yes ALD is in HVM for DRAM HKMG peripheral transistors! The Switch is on also for DRAM - have a nice weekend!

Benjamin Loh (ASMI CEO), answers on financial analyst question about if ASM has ALD tools in the field for DRAM high-k/metal gate:

"Mark thanks. So, of course, first of all, maybe let's talk about the memory parts of, in DRAM we started quite some time ago, we have been qualified for the high-k/metal gate in the DRAM periphery transistor. So right now, what you see for example, and what is called in the industry as high-performance DRAM. I think they are using our ALD for the mass for the high-volume manufacturing." 

Please find the full Q4/2020 investor call transcript here provided by Seeking Alpha: LINK (you have to create a profile to get full access)


TEM images of (A) 30 nm and (B) 65 fin height, of 15nm fin width, as used in a recent Imec study of HKMG FinFETs for peripheral DRAM Logic. DOI: 10.1109/IIRW47491.2019.8989914 Conference: IEEE International Integrated Reliability Workshop, IIWR'19 At: Stanford Sierra Conference Center Fallen Leaf Lake Tahoe, CA, USA


ASM’s Pulsar uses ALD to deposit the high-k dielectric materials required for advanced CMOS transistor gates ​and other applications. Pulsar is the benchmark ALD high-k tool for the industry. It was the first ALD system to be used for high-volume production at advanced customers for high-k metal gate transistors. (www.asm.com)

Friday, February 26, 2021

ASM International N.V. reports its 4Q/2020 operating results and the fourth consecutive year of double-digit growth

ASM International the leading supplier of single wafer ALD wafer processing equipment in the semiconductor industry reports that 2020 was the fourth consecutive year of double-digit growth.

  • 4Q/2020 was driven by the logic/foundry segment, solid growth in China and a strong increase in spares & service business.
  • ASM expects the single wafer ALD market to reach a size of approx. US$1.5 billion by ‘20-’21, and to grow substantially above that level in subsequent later years. 
  • Next ASM focus is on expanding their addressable market within the single wafer ALD space.

Source: 4Q/2020 Earings press release and investor presentation (LINK)



Saturday, November 28, 2020

Applied Materials will regain its No. 1 ranking in the semiconductor equipment market in 2020 from ASML

According to recent published data by The Information Network (Seeking Alpha LINK), Applied Materials will regain its top ranking in the semiconductor equipment market in 2020 from ASML. Fab equipment spend in 2020 was enhanced from pull-ins of sales into China and Taiwan, with 3Q QoQ increases of 22.5% and 36.2%, respectively.

As is well known ASML and Applied Materials does not compete in their  business segments, Lithography (ASML) resp. Deposition & Etch (Applied Materials). Applied Materials has a number 1 spot in PVD, CVD, Epi, CMP and Implant/Doping. However, business segments where Applied Materials so far has not been successful to reach a top 3 position in the past years include:
  • Atomic Layer Deposition
  • MOCVD
  • Furnace 
  • Dielectric Etch  
  • Spray Processing
  • Dielectric Etch (including ALE)
  • Wet Stations
As is known, Applied Materials have several times made very serious attempts to enter the ALD segment, but failed several times to compete with ASMI, Tokyo Electron and the South Korean OEMs (Jusung Engineering, Wonik IPS and Eugene Technology. In 2019 Applied Materials announced that it will acquire Japanese Kokusai (LINK) but the final agreement is yet not settled. If successful Applied will have an opportunity to kill 2 birds with one stone:

1. Move in to top 3 spot in ALD
2. Take number 2 spot in Furnace business


Table based on information and own assumptions in the article (Seeking Alpha LINK)

Sunday, November 1, 2020

ASM International CEO Benjamin Loh on Q3 2020 Results

(Seeking Alpha Transcriot: LINK): The wafer fab equipment, spending also remained robust in the first 9 months of the year. Looking at the market by segment, logic and foundry spending continues to be on track for a strong year. Investments in the most advanced 10-nanometer and below nodes continue to be the key driver in the logic/foundry segment. 

Our customers have been stepping up their leading edge manufacturing capacity in support of the wafer demand for multiyear growth drivers such as 5G, cloud computing, artificial intelligence and autonomous driving. 5G, just to take one example, is expected to drive renewed growth in the smartphone market and new apps. Enhanced capabilities will lead to higher semiconductor content as compared to the 4G smartphones. Demand in the coming years for faster and more energy-efficient chips to power these 5G smartphones is an important reason for customers in the foundry segment to invest in new leading edge manufacturing capacity. 


ASM CEO Benjamin Loh (photo credit asm.com)

We confirm our earlier forecast spending in the broader memory market in the second half will be higher than in the first half, mainly driven by an uptick in 3D NAND spending. Limited capacity over the last couple of years combined with expected growth in end markets such as smartphones brings the potential of a further improvement in supply/demand conditions.

This year, we are having good traction in our DRAM business, driven by the high-k application wins that we discussed last quarter. Our ambition in memory remains to substantially increase our served available market over time as we further step up our customer engagements in new applications. However, it is important to keep in mind the logic/foundry represents the largest part of our sales, and therefore will remain the most important driver for our business in the forthcoming periods. A strong area of growth this year has been the Chinese market for the broader wafer fab equipment market and for ASM. We benefited from the investments we made in recent years to strengthen our position in this market and from the first meaningful investment by some of the domestic players in the more advanced nodesp

ALD has already moved into the mainstream over the last years, we expect ALD to turn even more into a core technology that will help our industry stay of Moore's law. In the years to come, beyond 2021, we expect that ALD demand will substantially grow above the USD 1.5 billion market size that we have guided earlier. 

Increasing device complexity, new materials and ever thinner films with higher required conformality mean that conventional deposition will run out of steam and that ALD is going to take a bigger piece of the pie. Both in logic/foundry and in memory, important technology inflections will drive substantial increase in ALD requirements in the medium term.

Epi remains an attractive growth market, and we are strongly focused on adding new customers and driving our market share in the coming years. In vertical furnaces and PECVD, we continue our strategy to invest in targeted niche opportunities, which already drove decent additional top line growth for our company in the recent years. To conclude, prospects remain solid, and we are focused to ensure ASMI is going to benefit and stay on its growth path.

Full transcript with Q&A from analysts: LINK

Thursday, October 29, 2020

ASM International report strong quarterly results driven by continued logic/foundry demand

Strong quarterly results driven by continued logic/foundry demand and an exceptionally high gross margin.
  • New orders at €303 million were 2% above the level of last quarter and 4% above the level of last year.
  • Revenue for the third quarter 2020 was €315 million and decreased 8% compared to the previous quarter, half of that decrease is caused by exchange rate translation differences.
  • Gross profit margin was 49.9% in Q3 2020 compared to 48.3% in the previous quarter, driven by an exceptionally strong mix. Operating result was €84 million compared to €88 million in the previous quarter, mainly due to lower sales, partly compensated by a higher margin percentage and lower costs.
  • Normalized net earnings for the third quarter 2020 were lower at €61 million compared to €77 million in Q2 2020. Main reasons for the decline are the depreciation of the US dollar causing a translation result of €14 million negative as compared to the €6 million negative in Q2 and the €4 million lower result from investments.

“ASM continued to deliver strong results in Q3,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Supply chain and logistical conditions were largely back at normal levels during the quarter. In Q3, despite adverse exchange rate developments, we realized sales of €315 million which is at the high end of the guidance of €300-320 million and down 8% from the level in Q2 but still 16% above the level of Q3 last year. The sales level was again driven by continuous high demand in the logic/foundry segment. Our Q3 order intake, at €303 million, was slightly higher than our guidance of €280-300 million. Supported by again an exceptionally strong mix in the quarter, our gross margin increased to 49.9%.”

Outlook

For Q4, on a currency comparable level, we expect revenue of €330 - €350 million. Q4 bookings, on a currency comparable level, are expected to be in the range of €340 - €360 million. 
 

VLSI Research now expects WFE to increase by 11% in 2020, up from a forecast of +9% last July and a drop of 7% expected last March. For 2021, VLSI forecasts a further increase of 5% in WFE

Supported by a continued healthy market development in the second half we now expect the wafer fab equipment (WFE) market to grow with a high single to low double digit percentage in 2020. We expect to outgrow the WFE market in 2020.






Thursday, January 16, 2020

ASM International announces Q4 2019 orders substantially above guidance

ASM International N.V. (Euronext Amsterdam: ASM) today announces that order intake in the fourth quarter of 2019 was substantially above previous guidance.
Reflecting stronger than expected market conditions, order intake in Q4 2019 came in slightly above €370 million, substantially above the range of €290-310 million that ASMI guided for with the publication of the Q3 2019 results on October 30, 2019. Based on preliminary numbers, sales in Q4 2019 slightly exceeded the high end of the guidance of €310-330 million.

Both Q4 orders and preliminary sales mentioned above, as well as previous Q4 guidance,, do not include the earlier announced proceeds of US$61 million (approximately €56 million) related to the settlement of the arbitration proceeding with Kokusai Electric Corporation.

ASMI will report fourth quarter and full-year 2019 financial results on February 25, 2020.

Saturday, January 4, 2020

ASM International received TSMC’s Excellent Performance Award for ALD and Epitaxy products

ASM International N.V. (LINK) has received TSMC’s “Excellent Performance Award”, one of seven equipment suppliers to win this recognition in 2019. The award was presented to ASM by Mr. J.K. Wang, Senior Vice President Advanced Fab Operations, at the TSMC Supply Chain Management Forum on Dec 5, 2019, in Taiwan.

The award was received by ASM in recognition of its technology collaboration with TSMC. During the presentation, TSMC explained three points that contributed to the award to ASM. 

1) Outstanding development support.
2) Continuous efforts in productivity improvement.
3) Excellent delivery support on production ramp.

“On behalf of ASM and all of our employees, I thank TSMC for their recognition through this esteemed award,” said Chuck del Prado, CEO and President of ASM International. “Our partnership with TSMC is of strategic importance to ASM. We continuously focus on advancing our leading edge technology, including ALD and Epitaxy products and processes in support of our technology collaborations with TSMC."
 

ASM product portfolio for semiconducttor high volume manufacturing includes ewafer processing equipment for processes such as Atomic Layer Deposition (ALD), Plasma Enhanced ALD (PEALD), Epitaxy, Plasma Enhanced Chemical Vapor Deposition (PECVD), Low Pressure Chemical Vapor Deposition (LPCVD) and Oxidation/Diffusion. (source & credit www.asm.com)

Monday, November 11, 2019

ASM International launches A400(TM) Duo vertical furnace system with dual reactor chambers

New system addresses 200mm applications with high productivity and low cost of ownership

Munich - ASM International N.V. (Euronext Amsterdam: ASM LINK) today introduced the A400™ DUO vertical furnace system with dual reactor chambers for wafer sizes of 200mm and smaller. The system’s DUAL Boat reactors produce high throughput, increasing reactor utilization to a very high percentage, while ensuring low capex.

“The new A400™ DUO reactor ensures that ASM will extend its position as a leader in the market for Power, Analog, RF, and MEMS applications,” said Hichem M’Saad, ASM Executive Vice President, Global Products. “As 200mm manufacturing began its renaissance, driven by growth in for instance IoT devices, it became clear that our existing furnace technology could still achieve industry-leading results. Combining our technology with the latest innovations in robotics and controls has significantly enhanced the system’s manufacturing capabilities to meet today’s production targets.”



The new DUO is compatible with the original A400™, so existing process recipes can be easily transferred, accelerating system ramp. The system has secured production qualification from multiple customers in Europe, the United States and Asia, including several leaders in power, RF, and MEMS device manufacturing. To date over 20 reactors have been shipped, with a healthy outlook for further shipments.

ASM’s original A400™ vertical furnace system has a proven track record of more than 1000 reactors shipped to customers worldwide and over 25 years of maturity in semiconductor manufacturing. The new system has been modernized to support a variety of growing markets including silicon power, wide band gap semiconductor power, analog, RF and MEMS devices. With its updated control system, software with an intuitive graphical user interface, predictive maintenance by advanced control diagnostics, new robot, and plug-and-play installation, customers can count on the A400™ DUO delivering increased reliability with production output that achieves better repeatability, productivity, and time utilization.

Like its predecessor, the A400™ DUO offers a comprehensive portfolio of process applications including low pressure chemical vapor deposition (LPCVD) processes like doped silicon and silicon nitride films, diffusion processes such as wet oxidation and anneal processes.

Thursday, October 31, 2019

ASM International settles with Kokusai for Batch ALD patent licenses (US$61 million)

October 29, 2019, 12.15 p.m. CET (LINK) ASM International N.V. (Euronext Amsterdam: ASM) today announces that it has entered into a settlement agreement with Kokusai Electric Corporation (formerly known as Hitachi Kokusai Electric Inc. and hereinafter referred to as “KEC”) to resolve the arbitration proceeding relating to the license agreement which expired in November 2017. As part of this settlement, KEC will pay ASM an amount of US$61 million. With this settlement all pending disputes between ASM and KEC with respect to patent licenses have been resolved.

As announced on February 23, 2018, ASM initiated an arbitration proceeding on August 30, 2017 with the American Arbitration Association against KEC for breach of the license agreement between the companies. This license agreement provided KEC and its affiliates a license under certain patents of ASM in the field of Batch ALD. The companies have now entered into a settlement agreement concerning all the matters of the arbitration.

This arbitration settlement is separate from the settlement of all patent lawsuits and invalidation proceedings between ASM and KEC that was announced on July 1, 2019.

The settlement of the arbitration will positively impact ASMI’s sales and bookings in Q4 2019 with an amount of US$61 million, or approximately €56 million.
 
Background:

- Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD (LINK)

- ASM International settles (US$115 million) with Kokusai Electric Corporation on the use and infringement of ALD patents  (LINK)
 
 

Tuesday, September 3, 2019

ALD - Why ASMI is doing so well

[BITS&CHIPS] Grueling work with Intel cemented the company’s position in the atomic layer deposition market, but the emergence of more ALD semiconductor applications was required for that investment to really pay off. The story of why ASM International is doing so well these days.

ASM International is on a roll. While the semiconductor industry has been experiencing a cold spell since mid to late 2018, the Almere-based semiconductor equipment maker has been posting one quarterly sales growth after the other. Last July, front-end sales even reached an all-time record – and the orders keep pouring in. Many of those are for ASMI’s atomic layer deposition (ALD) systems, which at the moment are its best selling product line, CEO Chuck del Prado noted in a conference call with investors, though he added demand is generally healthy in other businesses as well.
Full story: When persistence pays off: why ASMI is doing so well [LINK]
An ASM Pulsar 2000 form the year 2000 (Photo CAE LINK), which was used by many semiconductor fabs for the early development of ALD High-k in both logic and DRAM (Al2O3, ZrO2, HfO2, and others). One of the first high volume manufacturing applications was dielectric layers for GMR and TMR magnetic heads by, e.g., Seagate. The 200 mm single wafer ALD reactor was later made as a 300 mm reactor for the first roll-out of high-k ALD at Intel 45 nm node in 2007. The Pulsar was typical at the beginning used on the Polygon platform. However, now it is available on the new more productive XP series of the ASM platforms (LINK).

Monday, August 5, 2019

ASM International NV 2019 Q2 Results - Earnings Call

ASM International N.V. (Euronext Amsterdam: ASM) today reports its second quarter 2019 operating results (unaudited) in accordance with IFRS.

• New orders were €373 million. Excluding €103 million related to the patent litigation settlement new orders were €270 million.

• Net sales for the second quarter 2019 were €363 million. Excluding €103 million related to the patent litigation settlement, net sales were €260 million and increased 5% compared to the previous quarter.

• Gross profit margin was 59.0% in Q2 2019 and 42.8% excluding the patent litigation settlement compared to 41.3% in the previous quarter.

• Operating result increased to €150 million. Excluding the patent litigation settlement operating result was stable at €47 million compared to the previous quarter.

• Normalized net earnings, including the patent litigation settlement, for the second quarter 2019 increased by €72 million compared to Q1 2019. Besides the positive impact of the patent litigation settlement net earnings in Q2 were negatively impacted by adverse currency effects and the increase in taxes due to the full utilization of the remaining net operating losses in the Netherlands. Results from investments decreased to €2 million.


ASM INTERNATIONAL N.V. REPORTS SECOND QUARTER 2019 RESULTS

"Logic bookings increased compare to Q1 and were primarily driven by 10 nanometer related demand and early tools for 7nm. Foundry orders decreased so much compared to the record high level in Q1 and primarily reflected its further investments into 5 nm node. Memory orders during the second quarter increased compared to a low level in Q1 mainly driven by DRAM, The increased DRAM bookings during the quarter were largely related to specific customer demands, and in our view not indicative of a broad base recovery in spending in this segment. 
Looking at the bookings by product line, while ALD was again our largest product line, we also experienced healthy demand in for instance LPCVD and Epi business in the quarter. In terms of product lines ALD continues to be a solid driver for our company. 
The long-term outlook remains strong. The current most advanced nodes 10-nanometer in logic and 5-nanometer in foundry have been a major inflection in terms of ALD needs, driven by further miniaturization, new materials, and by new more complex device architecture, that are on the industry's roadmap, the need for additional ALD applications at future nodes will only further increase. This will support continuing healthy growth in these segments of the ALD market over the longer-term. 
Our focus in the memory segments of the ALD market remains the expansion of our swift available market, or so called SAM. We continue to invest in broadening our portfolio of ALD applications for future DRAM and 3D NAND device technology. In 3D NAND for instance as the industry moves to higher stacks of the 96 layers, 128 layers and beyond, the increasing device complexity and high aspect ratio structures will stimulate the needs for a higher number of single wafer ALD applications . We are targeting to increase our SAM and our share of the memory market step-by-step, as customers transition to next generation devices over the next years."

-CEO Charles del Prado

ASM International NV's (ASMIY) CEO Charles del Prado on Q2 2019 Results - Earnings Call Transcript by Seeking Alpha | LINK

Investor presentation Q2 2019 | LINK

Analyts reports:

ASM International: Strong Headwinds We Didn't Hear In Conference Call
Seeking Alpha: ASM International NV (OTCQX:ASMIY) reported 2Q earnings on July 23, 2019. According to financial disclosures, net sales for the second ...

Sunday, April 28, 2019

ASM beats first-quarter targets, sees market outperformance in 2019


Dutch semiconductor supplier ASM International on Wednesday reported first-quarter revenue of 249 million euros ($278.5 million) and an order intake of 235 million euros, both exceeding its own expectations.
Source: Reuters LINK
In the 1Q/2019 Investor presentation (LINK) ASMI reports strong long term growth prospects:
  • ALD market expected to be a key growth market, ASMI has a leadership position in ALD
  • Driving structurally higher sales in the other product lines
  • Solid momentum in the first part of 2019 driven by ASMI’s strong position in logic/foundry
ASMI has leading positions in ALD
  • ASMI has the strongest position in logic/foundry. 
  • Logic/foundry ALD market more than doubled from 14nm/16nm to 7nm
  • ALD continued to account for more than half of ASM’s equipment revenue in 2018
  • Strong focus on increasing our addressable market within single wafer ALD
Strong market outlook ALD
  • The CAGR of the single wafer ALD segment is expected to be the highest within the deposition equipment market in the next years
Solid growth expected for the ALD market
  • ASMI expect the single wafer ALD market to reach a size of approx. US$1.5 billion by ‘20-’21
  • Focus on expanding their addressable market within the single wafer ALD space
  • ASMI outperformed WFE in 2018 and expects to outperform WFE in 2019
  • Launch of new XP8 QCM tool in January 2019, offering a substantial improvement in productivity for advanced applications. The XP8 QCM is already in high-volume manufacturing at multiple customers
More than 50% of ASM´s revenue 2018 came from the top 3 semiconductor equipment spenders, i.e., Samsung, TSMC and Intel.

Saturday, March 16, 2019

VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018 shown big wins for Japanese OEMs

VLSI Research report well above average growth for ASML (NL), Tokyo Electron (JPN), Advantest (JPN), Kokusai (JPN), Daifuku (JPN) and Canon (JPN) so a big win for Japan and the Netherlands last year. All Japanese companies outperform the market growth 2018!

Dan Hutchenson: "VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018. Notable shifts were TEL passing Lam to take the top spot. Advantest past Screen for 7th with the highest growth of any chip equipment manufacturer. While ASM Pacific passed SEMES. For details, see: https://lnkd.in/gDxccnX

Most growth is seen in Litho as for each Immersion or EUV tool that is installed a bunch of Tokyo Electron tools come as well like e.g. the TEL Track platform.

With respect to ALD, judging by ASMI, TEL and Kokusai it seems that ALD was able to capture all of the growth in 2018 and maybe a bit more. In April the Japanese companies start their 2018 annual reporting so then we will know more for now we have the ASMI report to study (LINK).



Thursday, February 14, 2019

The new ASM XP8 QCM up to 16 chamber ALD/CVD tool

Here is a photo out of a Korean web page of the new massive ASM XP8 QCM. Q stands for quad meaning the central wafer vacuum handler can dock 4 chambers per slot or "just" two as earlier on the XP8 up to 16 chamber ALD/CVD tool [LINK].

ASM International enhances ALD productivity with new 300 mm XP8 Quad Chamber Module [LINK]





Wednesday, January 23, 2019

ASM International enhances ALD productivity with new 300 mm XP8 Quad Chamber Module

ASM International enhances ALD productivity with new very competetive 300 mm XP8 Quad Chamber Module providing:
  • High productivity platform for PEALD and PECVD Processing of up to 16 wafers at a time.
  • Integrated processing using both the "old" Double (DCM) and new Quad Chamber Modules (QCM) for flexibility and productivity optimization.
  • Earlier in 2018 ASM relaunched the two industry ALD workhorse chambers, Pulsar Thermal ALD and Emerald PEALD used for e.g. HKMG,  as Double module on XP8 - this now means that all ASM ALD and PEALD chambers can be used on the high productivity platfrom XP8 eilter sa DCM or QCM or both.
[ASM News, LINK] ASM International N.V. (Euronext Amsterdam: ASM) today introduced its XP8 QCM tool for high-productivity 300mm single-wafer plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) applications. The QCM, or Quad Chamber Module, is a process module with four tightly integrated process reactors. Up to four QCM modules can be configured to each XP8 platform, enabling processing of up to 16 wafers at a time. 
Quad chamber modules (QCMs) 30, 32, 34, and 36 are connected to four side surfaces of the vacuum chamber 22. Each QCM is a module having four reactor chambers (RC1 to RC4). Processing such as plasma film forming processing is performed on a substrate in each reactor chamber. (ASM Patent application US20170278074A1)
"Across the board, customers are requiring increased throughput" said Tominori Yoshida, ASM's General Manager and Senior Vice President, Plasma Products Business Unit. "The XP8 QCM addresses advanced PEALD and PECVD films including silicon oxide for multiple patterning and silicon nitride for other applications, and relatively thick films where the tool's architecture enables higher throughput compared to other single-wafer configurations."

The new reactor architecture is well-suited for high-volume advanced-node memory and logic applications, which require the precise film control provided by the QCM's innovative reaction chamber. The QCM chambers use the same advanced reactor technology as ASM's original PEALD and PECVD XP8 Dual Chamber Modules (DCMs). Hundreds of these DCMs have been running for years in high-volume production globally at key logic, foundry and memory customers. This allows customers to easily transfer existing processes to the new QCM chamber. As a result, the XP8 QCM tool is already in high-volume manufacturing at multiple customers worldwide.

Furthermore, the XP8 architecture enables integrated processing using both DCMs and QCMs for flexibility and productivity optimization.

Thursday, January 3, 2019

ASM International received a supplier excellence award from TSMC

[ASM International, LINK] ASM International N.V. (Euronext Amsterdam: ASM) has received a supplier excellence award as one of five equipment suppliers from TSMC for the performance and support of ASM's CVD equipment and technology during 2018. The award was presented to ASM by Dr. C.C. Wei, TSMC's Chief Executive Officer, at the TSMC Supply Chain Management Forum on December 6, 2018 in Taiwan.

The award was received by ASM in recognition of its CVD technology and performance in production at TSMC fabs. During the presentation, TSMC explained three points that contributed to the award to ASM.

1) Close engagement with TSMC and precursor suppliers to innovate process solutions.

2) Continued effort on cost and productivity improvement.

3) Exceptional manpower arrangement for delivery.



"We are very honored to receive this prestigious award from TSMC. On behalf of ASM, I would like to thank TSMC for this recognition," said Chuck del Prado, CEO and President of ASM International. "ASM strives to continuously advance our technology solutions and our partnership with TSMC is of strategic importance to ASM. We are very pleased that TSMC has benefited from the performance of our ALD and Epitaxy deposition tools in its production fabs."

TSMC is the world's largest semiconductor manufacturing foundry. TSMC holds the Supply Chain Management Forum annually to show appreciation for the support and contributions of their suppliers and to recognize outstanding equipment and materials suppliers.

Friday, November 30, 2018

ASM International will host a technical luncheon seminar in IEDM 2018 San Francisco, CA, US, on Tuesday, December 4

ASM International N.V. (Euronext Amsterdam: ASM) today announces that it will host a technical luncheon seminar in San Francisco, CA, US, on Tuesday, December 4, 2018, the second day of the IEDM Conference.

At this technology seminar ASM will highlight the challenges and potential solutions for advanced ALD processes, equipment and productivity.

The agenda is as follows:
11:30 am Reception,food and drinks
11:55 - 12:00 pm Dr. Ivo Raaijmakers (ASM) - Welcome and introduction
12:00 - 12:30 pm Speaker: SH Hong, MSc (ASM) - "ALD for Advanced Memories"
12:30 - 1:00 pm Invited speaker: Dr. Bala Haran (IBM) - "Materials Need for the Next Era of Computing
 
 
 

Friday, November 16, 2018

4th Area Selective Deposition (ASD) workshop April 4th – 5th, 2019 in IMEC

[Announcment LINK] ASM and IMEC are proud to announce that the 4th Area Selective Deposition (ASD) Workshop will be held on April 4th – 5th, 2019 in IMEC, Leuven (Belgium).

News tip by Henrik Pedersen - Tack så mycket


This workshop will bring together leading experts from both academia and industry to share their vision and results about: fundamental aspects of surface chemistry, new processes, metrology, fields of applications, technology needs and integration challenges for ASD. Based on a series of successful workshops at the North Carolina State University in 2018, Eindhoven University of Technology in 2017 and at IMEC in 2016, the two-days program will include invited and contributed speakers, a poster session and a reception on the evening of April 4th.