Showing posts with label Power Electronics. Show all posts
Showing posts with label Power Electronics. Show all posts

Tuesday, March 19, 2024

Laser Slicing Technique Revolutionizes GaN Substrate Recycling, Paving the Way for Cost-Effective Vertical Power MOSFETs

A study led by Takashi Ishida and colleagues explored a recycling process for gallium nitride (GaN) substrates using a laser slicing technique, aiming to reduce the cost of GaN vertical power MOSFETs. GaN is noted for its potential in high-power applications due to its superior electrical properties compared to silicon. The cost of GaN devices, while expected to be lower than silicon carbide (SiC) devices, is significantly impacted by the expensive GaN substrates. The proposed recycling process involves the use of laser slicing to separate used GaN substrates into thin device chips and a remaining substrate portion, which can then be smoothed, polished, and reused for further device fabrication.


The research demonstrated that the electrical properties of devices fabricated on recycled GaN substrates, specifically lateral MOSFETs and vertical PN diodes, showed no degradation compared to those on new substrates. This indicates that the recycling process does not adversely affect the substrate's quality or the performance of subsequent devices. The study's findings suggest that this recycling method could be a viable strategy to lower the production costs of GaN-based power devices, potentially facilitating their broader adoption in high-power applications.


Source: Demonstration of recycling process for GaN substrates using laser slicing technique towards cost reduction of GaN vertical power MOSFETs - IOPscience

Tuesday, February 6, 2024

Powering the Future: The Rise of Compound Semiconductor Substrates and Epiwafers

Yole Group reports that the compound semiconductor substrate market is on the brink of a significant transformation, poised to reach a staggering US$3.3 billion by 2029, with an impressive compound annual growth rate of 17% from 2023 to 2029. This growth is underpinned by the relentless innovation and strategic foresight of leading players like Wolfspeed and Coherent, who are continuously refining their product portfolios and expanding their market footprints.

Atomic Layer Deposition (ALD) and Atomic Layer Etching (ALE) play specific roles in the compound semiconductor industry. ALD is used to apply ultra-thin layers crucial for semiconductor devices, especially in insulating layers and gate dielectrics in transistors. ALE, with its precise etching capability, is key for crafting fine details in devices, often used in the patterning of nanoscale structures in LEDs and high-frequency transistors. These technologies support the development of advanced, reliable applications in power electronics and photonics.

At the heart of this industry evolution are the advancements in compound semiconductor technologies, spanning materials such as Silicon Carbide (SiC), Gallium Nitride (GaN), and Indium Phosphide (InP). These materials are catalyzing a revolution across various sectors, with SiC leading the charge in the automotive industry, particularly within the burgeoning 800V electric vehicle segment. GaN, on the other hand, is making inroads into consumer electronics and automotive applications, promising to redefine power electronics with its superior efficiency.

Check link below for High-Res graph

The impact of compound semiconductors extends beyond power electronics into the realm of photonics, where InP and GaAs are setting new benchmarks. InP, for instance, is witnessing a resurgence, driven by its critical role in AI applications, while GaAs photonics continues to grow, albeit at a steadier pace.

Yole Group, a market research and strategy consulting firm, in its latest "Status of Compound Semiconductors Industry 2024" report, provides an exhaustive analysis of these trends. The report delves into each substrate's market dynamics and technological advancements, offering a comprehensive overview of the ecosystem.

LINK: Compound semiconductors industry: an unprecedented promise (yolegroup.com)

As the industry stands at the precipice of transitioning to larger diameter substrates, the demand for high-data-rate lasers in AI is pushing for a shift to 6” InP substrates. Concurrently, GaAs is exploring the potential of 8” manufacturing for MicroLEDs, despite the challenges it faces against OLED technology.

In this dynamic landscape, companies like Wolfspeed and Coherent are not just participants but are leading the charge towards a more efficient, technologically advanced future. Their efforts in expanding material capacity and forging strategic alliances are testament to the industry's readiness to embrace the next wave of semiconductor innovation.


Friday, November 24, 2023

Oxford Instruments Secures Major Orders for GaN ALE & ALD Systems from Leading Japanese Power Electronics and RF fabs

Oxford Instruments has received significant orders for its GaN ALE (Atomic Layer Etch) and ALD (Atomic Layer Deposition) systems from major Japanese foundries specializing in power electronics and RF (Radio Frequency). These systems are essential for producing GaN (Gallium Nitride) HEMT (High Electron Mobility Transistor) devices, catering to rapidly growing markets such as consumer fast-charging, data centers, and 5G/6G communications.


Oxford Instruments Plasma Technology staff at ALD2018/ALE2018 in Korea (Looking Back on ALD/ALE 2018 - Oxford Instruments (oxinst.com))

The company's ALD technology is known for its high throughput and low damage plasma processing, enhancing film and interface quality. It is widely used by leading GaN HEMT device manufacturers globally. The ALE solution, particularly for p-GaN HEMTs, is production-qualified and offers precise etching with minimal damage, featuring Etchpoint®, a unique endpoint detection technology developed in collaboration with LayTec AG.



Atomic Scale Processing

Etchpoint® allows for automated transition from standard high-rate etching to low damage ALE, improving device reliability. It enables precise AlGaN recess etches, crucial for the next generation GaN MISHEMT E-mode devices, with an accuracy of ±0.5 nm. These technologies can be integrated into an automated handler for multi-chamber processing without breaking vacuum, enhancing device performance and yield at a lower cost.



Gallium Nitride (GaN) provides higher breakdown strength, faster switching speed, and higher thermal conductivity for power electronics and RF applications. To support the high-volume manufacture of reliable GaN HEMT devices, Oxford Instruments in collaboration with LayTec have developed and optimised a new etch-depth monitoring solution to reliably fabricate GaN HEMT device structures. PlasmaPro 100 ALE with Etchpoint® system provides low damage etching with surface smoothing with unparalleled accuracy in target etch depth for devices such as p-GaN HEMTs and recessed gate MISHEMTs. Etchpoint is fully integrated with both the hardware and software of the PlasmaPro 100 ALE system, offering unrivalled accuracy of etch layer depth for GaN and AlGaN.

Dr. Aileen O'Mahony, GaN Product Manager at Oxford Instruments Plasma Technology, highlighted the significance of these orders from Japan, emphasizing the optimization of their ALD solution for GaN-surface plasma pre-treatment and the implementation of ALE with Etchpoint®. These developments are crucial in addressing complex challenges in device manufacturing while ensuring high throughput, reliability, and uptime in production.


Wednesday, November 22, 2023

SEMICON Europa 2023: A Deep Dive into ALD Technology for Wafer Fab Equipment in the More-than-Moore Era

Last week at SEMICON Europa, at the ALD Tech Day Organized by Beneq, Yole Group presented a comprehensive analysis on ALD technology for More-than-Moore (MtM) devices, focusing on its impact and future in the wafer fab equipment market. The presentation titled "Atomic Layer Deposition for More-than-Moore Devices in a Perspective of the Wafer Fab Equipment Market" offered valuable insights into the current trends and future directions of this pivotal technology.

The Growing Importance of ≤200 mm Wafers

A focal point of the discussion was the ≤200 mm wafer size, a segment that remains crucial in the semiconductor industry despite the surge in larger wafer sizes. The presentation highlighted the market size, chipmaker revenue, and capacity expansion specifically for this category. It emphasized that while the industry continues to evolve technologically, the demand for ≤200 mm wafers remains robust, accounting for a significant portion of the market.

Semiconductor Device Revenue Projections

Yole Group presented a detailed forecast for semiconductor device revenue, segmenting it by device type. They projected a CAGR of approximately 4.5%, expecting the overall market to reach around $850 billion by 2030. Within this, MtM devices are anticipated to contribute significantly, estimated at about $270 billion, indicating the growing relevance of these devices in the semiconductor landscape.


CapEx 200 mm Accelerates in Coming 5-Years - In 2023, the CapEx for 200mm wafer processing stood at $37 billion, representing 15% of the total device revenue of $252 billion. The slide forecasts an increase in CapEx to 17% of revenue by 2025, followed by an expected decrease to more sustainable levels by 2028. An impending demand for more Wafer Fab Equipment (WFE) for 200mm processing. Importantly, a significant opportunity for the introduction of ALD technologies in fabrication facilities, driven by the growth of compound semiconductors. This suggests a strategic shift in the industry, emphasizing the expanding role of ALD technology.

Capital Expenditure Trends and ALD Market Dynamics

The presentation also shed light on the capital expenditure (CapEx) trends in the industry, particularly for 200 mm wafer processing. With CapEx projected to increase to 17% of the revenue by 2025, a subsequent trend towards more sustainable levels by 2028 was also anticipated. This trend underscores the expanding market and the need for more Wafer Fab Equipment (WFE), presenting a substantial opportunity for the growth of ALD technologies.

Atomic Layer Deposition: Meeting Industry Needs

The analysis delved deeply into the ALD market, discussing how this technology is evolving to meet the changing demands of the semiconductor industry. It was pointed out that ALD technology is not just adapting but also driving significant advancements, particularly in the context of MtM devices.


From the presentation by Yole Group focuses on the use of ALD in power devices, emphasizing its role in enhancing device performance through precise interface control. The need for specialized ALD equipment, capable of handling multiple processes and materials, including thermal and plasma ALD with various precursors. ALD applications are found in in Silicon Carbide (SiC) and Gallium Nitride (GaN) devices, covering aspects like passivation, substrate creation, and buffer layers. While ALD is still in R&D for GaN High Electron Mobility Transistors (HEMT), it is already being used for SiC Trench and Planar MOSFETs in encapsulation and gate dielectrics, signaling its growing importance in semiconductor manufacturing.

Industry Challenges and Opportunities

The challenges and opportunities within the semiconductor industry were a crucial part of the discussion. The presentation addressed the current supply chain dynamics, technological innovations, and market trends that are shaping the adoption and development of ALD technology.

Conclusion

The presentation at SEMICON Europa provided a lucid and detailed perspective on the ALD technology in the context of the wafer fab equipment market. With a focus on ≤200 mm wafer size, it painted a comprehensive picture of the current market scenarios, future trends, and the pivotal role of ALD technology in shaping the future of semiconductor manufacturing. As the industry continues to evolve, the insights from this presentation will undoubtedly influence strategic decisions and technological advancements in the semiconductor sector.

SourceALD TECHDAY 2023 powered by Beneq (yolegroup.com)

Presentation shared with the attendees

AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

The article discusses AIXTRON's support for BelGaN in expanding its Gallium Nitride (GaN) business through the new G10-GaN system. AIXTRON SE, a semiconductor company, is enabling BelGaN, a leading GaN automotive-qualified semiconductor foundry in Europe, to enter the growing GaN market and boost GaN technology innovation. BelGaN plans to use AIXTRON's G10-GaN, which offers top performance, a compact design, and low cost per wafer, starting with an 8x150mm configuration, to be delivered to BelGaN's production site in Oudenaarde, Belgium, by the end of 2023. This system will later evolve to support 5x200mm.


AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

BelGaN aims to expand its power chip range with voltage ratings from 40V to 1200V, utilizing GaN-on-Si, GaN on SOI, and new GaN-on-engineered substrates, focusing on high performance, automotive quality, reliability, high yield, and low costs. The G10-GaN will enable innovations in device architectures and improvements in performance, yield, and quality, reducing the cost of GaN products. This advancement is expected to drive growth in e-mobility, datacom, energy conversion, and contribute to a carbon-neutral society.



The G10-GaN epitaxy system is a highly efficient, productive, and cost-effective solution for GaN power and RF applications, combining single wafer performance with the economy of batch processing.

Dr. Marnix Tack of BelGaN praised the productivity, uniformity, and low cost of ownership of the G10-GaN, while Dr. Felix Grawert of AIXTRON expressed pride in BelGaN's choice of their technology. The G10-GaN, building on the G5+ C platform, offers double the productivity per cleanroom area, better material uniformities, and over 25% lower cost of ownership compared to other market equipment, making it a competitive choice for AIXTRON's customers. This MOCVD system is fully automated and designed for silicon fabs, marking a significant technological step in the semiconductor industry.

G10 GaN Performance (www.aixtron.com)

The G10-GaN by AIXTRON is a state-of-the-art deposition system for 150/200 mm GaN epitaxy, designed for GaN power and RF applications. It features a compact cluster design with three process chambers, reducing the footprint by 50% while maximizing wafer yield per square meter. This system significantly improves device yield with a threefold increase in on-wafer uniformity and maintains low particle levels, enhancing overall quality and consistency.

Cost-effectiveness is a key attribute, with the G10-GaN offering more than 25% lower epitaxial cost per wafer compared to other platforms. It incorporates Planetary Reactor® technology for high wafer yield and uniformity. The system's productivity is marked by over 90% equipment uptime and highest throughput per fab area, supported by features like fully automated wafer handling and advanced temperature control.

The G10-GaN ensures easy maintenance and operation with features like automated chamber cleaning and predictive functionalities. It's compatible with existing AIX G5+ C tools, facilitating seamless process transfers. Overall, the G10-GaN epitaxy system combines efficiency, cost-effectiveness, and advanced technology, making it an optimal choice for semiconductor manufacturing in the GaN sector.

Market opportunity for GaN for MOCVD and ALD


ALD and MOCVD is rapidly gaining traction in the semiconductor industry, particularly for logic, memory, and wide-bandgap power semiconductors. This growth is highlighted by industry experts at SEMICON Europa 2023. ALD is primarily adopted for its excellent surface passivation qualities, essential in GaN power electronic devices. While miniaturization drives ALD use in some sectors, its primary role in power electronics is to provide high-quality, conformal coatings, crucial for device performance and reliability. Thermal ALD processes like Al2O3 and AlON are key for GaN transistors, offering improved interface layers for low dynamic switching losses. ALD's impact is most notable in consumer, automotive, and industrial markets, where it enhances device performance and longevity. Looking forward, continued optimization of ALD processes and in-situ surface treatments are expected to further enhance device performance and reliability, especially in GaN power electronic devices, with high growth predicted in various end markets.



Tuesday, November 21, 2023

Revolutionizing Power Technology: Intel's Integrated CMOS Driver-GaN (DrGaN) Power Switch for Enhanced Efficiency and Density in Data Centers and Networks

Intel researchers have developed an integrated CMOS Driver-GaN (DrGaN) power switch, combining gallium nitride (GaN) and silicon CMOS technologies on a 300mm GaN-on-Si platform. This innovation is designed to meet the increasing power density and efficiency needs of data centers and networking platforms. The new device, termed DrGaN, features an e-mode HEMT and an integrated 3D monolithic Si PMOS. It's capable of addressing the power requirements of future CPUs and GPUs, showing excellent resistance and leakage performance. A key advancement is the development of a new gate-last process flow for 3D monolithic integration of GaN and Si CMOS through layer transfer. 


Intel researchers have developed an integrated CMOS Driver-GaN (DrGaN) power switch, combining gallium nitride (GaN) and silicon CMOS technologies on a 300mm GaN-on-Si platform.

This process involves completing the high-temperature activation steps for the Si CMOS transistors before depositing the GaN transistor's gate dielectric, solving a major challenge in integrating these two technologies. This method also allows GaN and Si CMOS transistors to share the same backend interconnect stack, which reduces resistance and mask count. The new technology demonstrates great promise for scaling, evidenced by a figure of merit of 0.59 (mΩ-nC)-1 for a 30nm gate-length GaN MOSHEMT. The paper includes images of the new process flow, the 3D monolithic integration, and the layout of a DrGaN unit cell, illustrating the advanced integration and circuitry of this novel power device.

Wednesday, October 25, 2023

Infineon Acquires GaN Systems for $830M, Bolstering Position in Power Semiconductor Market

Strategic Move Amplifies Infineon's GaN Expertise, Accelerating Energy-Efficient Solutions and Decarbonization Efforts

Infineon Technologies has successfully acquired GaN Systems, a Canadian company, for $830 million. This acquisition positions Infineon as a significant supplier of gallium nitride (GaN) power devices across various sectors, including consumer, industrial, and automotive applications. With the deal, Infineon inherits a wide array of GaN-based power conversion devices, designs, and advanced application expertise. GaN Systems, located in Ottawa, has integrated with Infineon, which already had its CoolGaN range. Jochen Hanebeck, Infineon's CEO, emphasized that GaN technology promotes energy efficiency and contributes to decarbonization efforts. Following this acquisition, Infineon boasts 450 GaN experts and access to over 350 GaN patent families, solidifying its leadership in the power semiconductor domain. The collaboration of both companies' intellectual properties, application insights, and customer projects optimally positions Infineon for future growth. 

Notably, GaN Systems has a unique island-based device structure that enhances power design performance, utilized by companies like QPT for fast switching speeds of up to 20MHz. This acquisition comes after Infineon's 2020 purchase of Cypress Semiconductor.

Source: Infineon completes acquisition of GaN Systems ... (eenewseurope.com)

Wednesday, September 20, 2023

Plasma-Therm Strengthens Power Electronics Presence with Acquisition of Thin Film Equipment SrL

Plasma-Therm, a prominent manufacturer of plasma-process equipment for the semiconductor industry, has announced its acquisition of Thin Film Equipment SrL (TFE) on September 18, 2023. TFE, based in Binasco, Italy, specializes in supplying sputtering equipment for semiconductor research and production, particularly in physical vapor deposition (PVD) sputtering and evaporation process equipment and high purity materials for thin film applications.

This acquisition is part of Plasma-Therm's strategy to expand its presence in Europe and strengthen its position in the power device market. TFE's suite of PVD tools, catering to MEMS, Power, RFID, and other semiconductor applications, complements Plasma-Therm's existing product portfolio in etch and deposition. Additionally, TFE's expertise in PVD technology enhances Plasma-Therm's customer service and support capabilities.

The power semiconductor market is expected to grow substantially, reaching $6.3 billion by 2027, according to The Yole Group's "Power SiC 2022" report. Plasma-Therm is well-positioned to support this growth with the acquisition of TFE and its MRC Eclipse product line.

TFE will continue to operate independently but will collaborate closely with Plasma-Therm to offer a more comprehensive range of plasma and PVD process technology solutions to customers. This acquisition will also enable both companies to expand their R&D resources and global customer service and support teams.

Plasma-Therm is a global manufacturer of advanced plasma processing equipment, serving various industries, including wireless, power devices, MEMS, photonics, advanced packaging, and data storage. It has locations in North America, Europe, and Asia-Pacific.

TFE SrL, founded in 1996, is a leading supplier of sputtering equipment for R&D and production, known for its flexibility, reliability, process knowledge, and a large worldwide installed base.

Source: Plasma-Therm Announces Acquisition of Thin Film Equipment (globenewswire.com)

Thursday, September 7, 2023

AIXTRON Unveils G10-GaN Cluster Solution for Power Electronics Market

AIXTRON SE has unveiled the G10-GaN cluster solution for high-volume manufacturing of Gallium Nitride (GaN) power and RF devices. The platform delivers superior performance, a compact design, and cost-efficiency. Dr. Felix Grawert, CEO of AIXTRON, highlighted its potential in reducing global CO2 emissions and its growing demand in applications like mobile fast chargers, data centers, and solar energy. The system improves material uniformity, extends equipment uptime, and offers a 25% cost reduction per wafer, making it a significant advancement in semiconductor technology.

Herzogenrath, September 6, 2023 – AIXTRON SE, the semiconductor industry's leading deposition equipment supplier, has introduced its latest innovation, the G10-GaN cluster solution for Gallium Nitride (GaN) based power and radio frequency (RF) devices. This groundbreaking platform is making its debut at SEMICON Taiwan (September 6-8, 2023) in Taipei, offering unparalleled performance, a compact design, and exceptional cost-efficiency.

The cluster can be equipped with up to three process modules, delivering a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology – enabling a 25 percent cost reduction per wafer compared to previous products. LINK

Dr. Felix Grawert, CEO and President of AIXTRON SE, proudly announced, "Our new G10-GaN platform has already received qualification for high-volume production of GaN Power devices from a prominent US device manufacturer. It delivers double the productivity per cleanroom area compared to our previous product, enhances material uniformity, and provides a competitive edge to our customers."

GaN-based technologies are gaining importance in the drive to reduce global CO2 emissions due to their significantly more efficient power conversion capabilities compared to traditional silicon (Si). GaN can reduce power losses by a factor of two to three. Dr. Grawert noted, "We anticipate continuous growth in the GaN market throughout this decade and beyond. GaN has already replaced silicon in fast chargers for mobile devices, and we are witnessing rising demand in data centers and solar applications."

AIXTRON has been at the forefront of GaN on Si process and hardware development for over two decades. The company's AIX G5+ C planetary reactor, known for being the first fully automated GaN Metal-Organic Chemical Vapor Deposition (MOCVD) system with In-Situ Cleaning and Cassette-to-Cassette automation, is now an industry-standard tool for GaN power production. The new G10-GaN cluster solution builds upon this legacy, elevating every performance metric.

Designed to maximize cleanroom space, the G10-GaN features innovative reactor inlets that enhance material uniformity, resulting in optimized device yields. The platform incorporates on-board sensors, a new software suite, and fingerprint solutions to ensure consistent performance across runs, even between maintenance cycles, extending equipment uptime by over 5% compared to the previous generation.

The cluster can accommodate up to three process modules, boasting a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology. This enables a remarkable 25% reduction in cost per wafer compared to previous products, a factor that promises significant savings for manufacturers.

AIXTRON's G10-GaN cluster solution positions the company as a key player in the ever-evolving landscape of GaN-based power and RF devices, furthering its commitment to advancing semiconductor technology for a sustainable future.

Friday, September 1, 2023

SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

Strategic Shift to 8-Inch Wafers: Opportunities and Challenges Shape SiC's Next Phase

According to Yole, the Silicon Carbide (SiC) market is on a robust trajectory, poised to reach nearly $9 billion by 2028, driven by a dynamic blend of growth factors. The automotive sector, particularly Battery Electric Vehicles (BEVs) and 800V EV systems, spearheads this expansion. SiC's prominence extends to EV DC chargers, offering immense potential for high-power modular chargers. Additionally, SiC plays a pivotal role in energy supply, with installations expected to surge between 2022 and 2028. Industrial power supplies, motor drives, and rail systems further diversify SiC's applications. This Yole report underscores SiC's prowess in high-efficiency power electronics across industries, shaping a transformative market landscape.

The report indicates that as of 2023, the mainstream SiC wafer size for leading players is still 6 inches. However, there are strategic discussions and plans surrounding the transition to 8-inch (200 mm) SiC wafers. While Wolfspeed is currently the only player producing SiC devices on the 8-inch platform, other companies have announced their intention to follow suit. The adoption of 8-inch wafers presents both opportunities and challenges.


Challenges associated with 8-inch wafer production include higher costs, longer equipment lead times, potentially lower initial yield rates due to the larger size, and concerns about the availability of these wafers. Wolfspeed's early adoption of 8-inch wafer production reflects their proactive stance in developing the technologies and processes required to overcome these challenges.



The transition to 8-inch wafers is an area of focus due to the potential advantages it offers, such as increased capacity and improved cost efficiency over time. However, the challenges mentioned earlier are critical factors that need to be addressed for a successful transition. Companies are investing in innovative approaches and strategies to ensure a smooth migration to the 8-inch platform, which has the potential to impact the SiC power devices market significantly in the coming years.

Yole Group - Follow the latest trend news in the Semiconductor Industry

Wednesday, August 23, 2023

Silicon Carbide Empowers Power Semiconductors in Thriving Industry Transition

A paradigm shift is sweeping the power semiconductor landscape, with silicon carbide (SiC) emerging as the frontrunner. Driven by EV adoption and cost parity with silicon, SiC's ascendancy is reshaping the market. Thousands of SiC-based power semiconductor modules are already enhancing EV functions such as charging and conversion. SiC MOSFETs are supplanting silicon-based IGBTs, doubling power density and ramping switching speeds while downsizing form factors. This transformation hinges on SiC modules achieving price parity with silicon alternatives, fostering supply alliances and new SiC fabs. SiC modules play a pivotal role in the transition to 800V batteries, boosting EV adoption through faster charging and reduced costs. Despite challenges, analysts anticipate substantial SiC growth, particularly in automotive applications. This evolutionary journey towards energy-efficient power is projected to culminate in a $6.3 billion SiC power semiconductor market by 2027. 

In the pursuit of advancing silicon carbide (SiC) technology, a suite of innovative wafer process tools is being employed. These tools encompass high-temperature epitaxial growth exceeding 2,000°C, hot ion implantation, rapid thermal processing (RTP), and Atomic Layer Deposition (ALD). Noteworthy adaptations are underway in wafer grinding, chemical-mechanical polishing (CMP), as well as the formulation of abrasion-resistant polishing pads and slurries tailored for the rigid, fragile SiC substrate. The evolution also encompasses novel materials like strippers and cleaning formulations, addressing device requirements and sustainability considerations. These cutting-edge processes collectively exemplify the industry's determination to optimize SiC production, enhance its characteristics, and foster its integration into diverse applications, from electric vehicles to renewable energy systems.

Power Semis Usher In The Silicon Carbide Era (semiengineering.com)

Tuesday, October 4, 2022

ASM International has completed the acquisition of Italian Silicon Carbide Equipment Manufacturer LPE S.p.A.

ASM International (Euronext Amsterdam: ASM) today announces that it has completed the acquisition of LPE S.p.A., after having received regulatory approvals.

On July 18, 2022, ASM entered into a definitive agreement under which it would at closing acquire all of the outstanding shares of LPE, an Italian based manufacturer of epitaxial reactors for silicon carbide (SiC) and silicon. As announced in our press release of July 18, 2022, the transaction is financed with a combination of cash, a conditional earn out, and 631,154 ASM shares (a combination of 580,000 treasury shares and 51,154 newly issued shares).



The acquisition has been completed today, and LPE is now a fully owned subsidiary and will operate as a product unit under ASM’s Global Products organization.

“This is an important milestone for ASM. We are excited to welcome LPE and its talented and experienced team into ASM,” said Benjamin Loh, President and CEO of ASM. “Together with LPE we look forward to capturing many of the opportunities in the high-growth silicon carbide epitaxy market and to support our power electronics customers with innovative solutions, driving the further electrification of the automotive industry.”
“I believe ASM is the right partner for LPE, especially now looking at the growth we are seeing in the silicon carbide market. The global reach that ASM has with its entrenched supplier and customer networks will bring benefits to all stakeholders,” said Franco Preti, who envisioned the silicon carbide opportunity in the earliest stages and led LPE growth as CEO until the acquisition.

LPE is profitable with margins in line with ASM’s 2021-2025 target model. As announced earlier, LPE’s revenue is projected to grow to more than €100 million in 2023, mainly driven by its SiC epitaxy equipment business. Based on ASM internal estimates, demand for SiC epitaxy equipment is forecasted to grow at a CAGR in excess of 25% from 2021 to 2025, driven by the rapidly expanding market for electric vehicles.

Thursday, September 22, 2022

Oxford Instruments and ITRI report GaN HEMT device performance by ALD and ALE

GaN HEMT device performance - Oxford Instruments and ITRI announce breakthrough development in GaN HEMT device performance


Oxford Instruments alongside its research partner Industrial Technology Research Institute (ITRI) can today share new and exciting technology developments that will significantly benefit key hyper-growth electric vehicle, datacentre and 5G markets. The technology developments allow critical transistor components to operate at higher voltages which increases performance and reliability, while also achieving a safer and more energy efficient (normally off ‘E-mode’) operation compared to existing devices. The new GaN (gallium nitride) HEMT device architecture is defined by a recessed and insulated gate junction into the AlGaN layer, and this device is referred to as GaN MISHEMT.

In September 2021, Oxford Instruments Plasma Technology and ITRI announced a cooperative research program for next-gen compound semiconductors. This latest breakthrough is an example of that collaboration delivering on its goal of accelerating technology to benefit the partners, their regions and wider global markets. Since that announcement, Oxford Instruments has also unveiled an exclusive supply deal with Laytec, who’s endpoint technology is used to control the GaN MISHEMT recess gate depth. Recess depth accuracy and repeatability is critical to tune the device performance characteristics, and LayTec’s technology is designed specifically for this application achieves target depth accuracy of ±0.5nm. ITRI provides pilot production and value-added services, including process verification and product development. ITRI’s integration services, especially this GaN development project, have proved incredibly beneficial, which quickly proved out the higher performance of GaN MISHEMT and provided a lower risk and faster route to market for the device.

Klaas Wisniewski, Oxford Instruments Strategic Business Development Director commented: “We have excellent strategic partners and customers like Enkris, ITRI, LayTec and ROHM, and our GaN solutions are positioned strongly to serve, grow and gain from big opportunity markets. Our leading Atomic Layer Etch (ALE) and Atomic Layer Deposition (ALD) technology is raising material engineering performance to achieve new levels of surface quality and defect reduction, to meet the growing demand for higher performing devices.” Klaas also added: “With our technology partner ITRI, high volume GaN manufacturing customers and our focussed investment into high value and proprietary process solutions, we expect the GaN device market to be a key driver for our business and technology roadmap.”

Klaas Wisniewski presented a talk entitled “Enhancing GaN HEMT Performance for Power Electronics Applications with Atomic Scale Processing Production Solutions” at Semicon Taiwan Sept 14-16, 2022, TaiNEX 1, Taipei, Taiwan. Please get in touch with us to discuss our latest data and opportunities for partnership and collaboration.

Wednesday, August 31, 2022

Equipment Suppliers Brace For GaN Market Explosion - including Atomic Layer Etch (ALE)

According to a recent article in Semiengineering Power Electronics and RF will drive volume for equipment suppliers, with many new uses underway. According to industry experts interviewed, also ALD and ALE will benefit

“Through an ongoing development program, Lam Research has been establishing a suite of enabling process solutions for GaN semiconductor device fabrication,” said David Haynes, vice president of specialty technologies in Lam Research’s Customer Support Business Group. 

“Key to these capabilities is an atomic layer etch-based process that can provide ultra-low damage, atomic scale precision etching of GaN and related materials. The new, optimized processes can reduce the post etch sheet resistance of the as-etched GaN/AlGaN [aluminum gallium nitride] whilst the surface roughness of the etched material remains comparable to that of the incoming epitaxial layers. Such high-precision, low-damage etch capabilities are critical to the formation of p-GaN or recessed gate high electron mobility transistor (HEMT) architectures used to fabricate normally off GaN devices for power electronics applications.”



Lam’s Kiyo45 reactive ion etch (RIE) tool offering ALE processes of GaN and SiC materials Source: Lam Research

According to the article, Lam has developed proprietary solutions to speed up the ALE process and its ALE chambers can be used in both RF and power GaN fabrication.

Lam sees GaN on SiC RF devices as well established and will remain very important for high power applications in telecommunications infrastructure and defense. Fast development of GaN-on-Si epitaxy will move towards high volume applications for consumer products according to Haynes and explained further: “These will evolve alongside GaN-on-Si power devices that share many of the same process challenges. Today, most GaN-on-SiC RF devices are still made on 150mm or even 100mm wafers. The opportunity for GaN-on-Si devices to be readily processed on 200mm and in the future 300mm wafers, as well as the potential to use complementary metal-oxide semiconductor (CMOS) foundry capacity and even develop integrated solutions with CMOS, will all be key drivers for this transition.”

The Lam Research was early in high volume manufacturing with ALE (2016 BALD Engineering - Born in Finland, Born to ALD: Lam Research - New Atomic Layer Etching Capability Enables Continued Device Scaling) ALE chambers are now also part of their Kyo45 reactive ion etch product platform and offers (lamreserch.com):
  • Superior uniformity and repeatability enabled by a symmetrical chamber design, industry-leading electrostatic chuck technology, and independent process tuning features
  • High productivity with low defectivity on multi-film stacks enabled by in-situ etch capability, continuous plasma, and advanced waferless auto-clean technology
  • Improved critical dimension uniformity using proprietary Hydra® technology that corrects for incoming patterning variability
  • Corvus® plasma sheath tuning for maximum yield of wafer-edge dies
  • Atomic-scale variability control with production-worthy throughput enabled by plasma-enhanced ALE capability
  • Upgradable products for low cost of ownership over several device generations
Sources: 

- Equipment Suppliers Brace For GaN Market Explosion

- Lam Research www.lamresearch.com

Friday, September 4, 2020

Beneq ALD for Power Devices

Atomic Layer Deposition (ALD) provides damage-free surface preparation, and is capable of depositing a variety of high-k dielectric layers with excellent step coverage and quality. 

Beneq ALD provides damage-free surface preparation and deposition of a variety of high-k dielectric layers with excellent step coverage and quality. Gate dielectric stacks deposited by ALD using Beneq Transform™ enable next generation Si, GaN and SiC Power Devices

Read more: LINK 


 

Saturday, March 23, 2019

Aledia Taps Veeco's Compound Semiconductor Expertise, Citing High-Quality Gallium Nitride Epitaxial Film Performance

Display Technology Innovator Expands Portfolio of Veeco Thin Film Process Technologies to Advance Next-Generation 3D Micro-LEDs

PLAINVIEW, New York, — Veeco Instruments Inc. (Nasdaq: VECO) announced today that Aledia, a developer and manufacturer of next-generation 3D LEDs for display applications, has expanded its portfolio of Veeco thin film process equipment to support the development and production of advanced 3D micro-LEDs. Aledia cited Veeco’s proven leadership in compound semiconductor applications, GaN-on-silicon growth performance, and capability to grow a full range of high-quality epitaxial films as key factors influencing its decision. 
 
 
Veeco’s Propel™ Power GaN MOCVD system is designed specifically for the power electronics industry. Featuring a single-wafer reactor platform, capable of processing six- and eight-inch wafers, the system deposits high-quality GaN films for the production of highly efficient power electronic devices.

“We have been impressed with the performance of Veeco’s Propel™ GaN MOCVD platform for large-wafer 3D LED production, and naturally turned to Veeco again to support our advanced LED development,” said Philippe Gilet, co-founder and CTO of Aledia. “Veeco’s solutions meet our rigorous material quality and system delivery requirements along with unmatched material flux stability and repeatability. We are excited to take the next step with them in producing next-generation 3D micro-LEDs.”

The collaboration between Aledia and Veeco reflects the immense promise of micro-LEDs and other advanced LEDs for the future of displays. Micro-LEDs offer high efficiency, brightness and reliability benefits with shorter response time, enabling lighter, thinner and flexible displays with energy saving advantages for applications such as wearables, smartphones, automotive, signage/large TVs, augmented reality/virtual reality, etc. According to a recent Yole Développement report, there have been close to 1,500 patents filed related to micro-LED display from 125 different companies, with the bulk of activity occurring after 2012.

“With the significant shift toward exploration of micro-LEDs for use in next-generation displays, leaders like Aledia are turning to Veeco,” said Gerry Blumenstock, senior vice president and general manager of Veeco’s compound semiconductor business unit. “Veeco’s proven materials engineering expertise puts us in a unique position to offer innovative thin film deposition technologies for customers tackling tough compound semiconductor research, development and production challenges.”

Veeco will exhibit and present at the CS International Conference, March 26-27, 2019 in Brussels, Belgium. Mark McKee, director of product marketing for Veeco’s MOCVD business unit, will present “Accelerating Photonics Growth through Advances in High Performance As/P MOCVD and Wet Processing Technology,” on March 27, 2019 at 9:50 a.m. CET.