Friday, October 30, 2020

ATLANT 3D Nanosystems to deliver ALD for the MESOMORPH Project funded by the EU

ATLANT 3D Nanosystems has joined a European consortium of companies and R&D organizations as a part of the Horizon 2020 Factories of Future Mesomorph project. The goal of the project is to develop an all-in-one machine for hybrid microfabrication of next-generation microelectronics. The consortium is led by Prima Industrie S.p.A., and includes also University of Applied Sciences and Arts of Southern Switzerland, IRIS srl, Femtika, OPI Photonics, Morphica, University of Erlangen-Nuremberg, Politecnico di Torino, STMicroelectronics, Smolsys, Heliotis AG, MCH-TRONICS SAGL. 

The ATLANT 3D Nanosystems team will deliver one of the key parts of the hybrid system - the atomic layer advanced manufacturing unit. 

Further information: LINK



Thursday, October 29, 2020

Researchers develop new atomic layer deposition process using ultrasonic precursor evaporation

ALD community's creativity has not been saturated. A new Ultrasonic Atomization technology based ALD has been developed:

A new way to deposit thin layers onto a substrate material at near room temperatures has been invented at The University of Alabama in Huntsville (UAH), a part of the University of Alabama System. UAH postdoctoral research associate Dr. Moon Hyung Jang got the idea to use an ultrasonic atomization technology to evaporate chemicals used in atomic layer deposition (ALD) while shopping for a home humidifier.

The UAH scientists' ultrasound invention makes it possible to use a wide range of reactive chemicals that are thermally unstable and not suitable for direct heating. Ultrasonic atomization supplies low vapor pressure precursors because the evaporation of precursors was made through ultrasonic vibrating of the module.


While observing how a home humidifier works, Dr. Moonhyung Jang got the idea to use an ultrasonic atomization technology to evaporate chemicals used in atomic layer deposition and worked with Dr. Lei to develop and test the device. (Photo credit: Michael Mercier / UAH)

The process uses a piezo-electric ultrasonic transducer placed in a liquid chemical precursor. Once started, the transducer starts to vibrate a few hundred thousand times per second and generates a mist of the chemical precursor. The small liquid droplets in the mist are quickly evaporated in the gas manifold under vacuum and mild heat treatment, leaving behind an even coat of the deposition material.

Source: UAH LINK
----------
By Abhishekkumar Thakur

ASM International report strong quarterly results driven by continued logic/foundry demand

Strong quarterly results driven by continued logic/foundry demand and an exceptionally high gross margin.
  • New orders at €303 million were 2% above the level of last quarter and 4% above the level of last year.
  • Revenue for the third quarter 2020 was €315 million and decreased 8% compared to the previous quarter, half of that decrease is caused by exchange rate translation differences.
  • Gross profit margin was 49.9% in Q3 2020 compared to 48.3% in the previous quarter, driven by an exceptionally strong mix. Operating result was €84 million compared to €88 million in the previous quarter, mainly due to lower sales, partly compensated by a higher margin percentage and lower costs.
  • Normalized net earnings for the third quarter 2020 were lower at €61 million compared to €77 million in Q2 2020. Main reasons for the decline are the depreciation of the US dollar causing a translation result of €14 million negative as compared to the €6 million negative in Q2 and the €4 million lower result from investments.

“ASM continued to deliver strong results in Q3,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Supply chain and logistical conditions were largely back at normal levels during the quarter. In Q3, despite adverse exchange rate developments, we realized sales of €315 million which is at the high end of the guidance of €300-320 million and down 8% from the level in Q2 but still 16% above the level of Q3 last year. The sales level was again driven by continuous high demand in the logic/foundry segment. Our Q3 order intake, at €303 million, was slightly higher than our guidance of €280-300 million. Supported by again an exceptionally strong mix in the quarter, our gross margin increased to 49.9%.”

Outlook

For Q4, on a currency comparable level, we expect revenue of €330 - €350 million. Q4 bookings, on a currency comparable level, are expected to be in the range of €340 - €360 million. 
 

VLSI Research now expects WFE to increase by 11% in 2020, up from a forecast of +9% last July and a drop of 7% expected last March. For 2021, VLSI forecasts a further increase of 5% in WFE

Supported by a continued healthy market development in the second half we now expect the wafer fab equipment (WFE) market to grow with a high single to low double digit percentage in 2020. We expect to outgrow the WFE market in 2020.






Wednesday, October 28, 2020

Virtual tour of Helsinki ALD Lab - The coolest ALD Lab in the world!

HelsinkiALD group does research in the field of inorganic materials chemistry. In the video you will see how silicon substrates are prepared for atomic layer deposition, precursors are loaded into the ALD reactor, how a thin film deposited on the substrate looks like, and how film thickness is measured with an ellipsometer. You will also visit our electron microscopy facilities and the X-ray laboratory.



TechInsights Webinar: ALD/ALE Process in Commercially Available Memory Devices

2018 saw memory product manufacturers Samsung, Hynix, Toshiba and Micron introducing 64- or 72- stacked layer 3D-NAND devices, and move into 1x generation DRAM devices.

This presentation will examine some of the different structures we have seen through the evolution of these technologies, in particular the latest 3D-NAND and DRAM parts. We will also look at several historical applications of ALD/ALE technology that have been observed through reverse engineering. We will highlight the importance of ALD/ALE process in advanced logic devices. In many cases, the technology could not have advanced without the implementation of ALD technology.

Information and registration: LINK



Stanford and Samsung Display use solar panel tech to create new ultrahigh-res OLED display

Stanford University reports that by expanding on existing designs for electrodes of ultra-thin solar panels, Stanford researchers and collaborators in Korea have developed a new architecture for OLED – organic light-emitting diode – displays that could enable televisions, smartphones and virtual or augmented reality devices with resolutions of up to 10,000 pixels per inch (PPI). (For comparison, the resolutions of new smartphones are around 400 to 500 PPI.) 

The crucial innovation behind both the solar panel and the new OLED is a base layer of reflective metal with nanoscale (smaller than microscopic) corrugations, called an optical metasurface. The metasurface can manipulate the reflective properties of light and thereby allow the different colors to resonate in the pixels. These resonances are key to facilitating effective light extraction from the OLEDs. 


Illustration of the meta-OLED display and the underlying metaphotonic layer, which improves the overall brightness and color of the display while keeping it thin and energy efficient. (Image credit: Courtesy Samsung Advanced Institute of Technology) 

In lab tests, the researchers successfully produced miniature proof-of-concept pixels. Compared with color-filtered white-OLEDs (which are used in OLED televisions) these pixels had a higher color purity and a twofold increase in luminescence efficiency – a measure of how bright the screen is compared to how much energy it uses. They also allow for an ultrahigh pixel density of 10,000 pixels-per-inch.

Full Report: Stanford materials scientists borrow solar panel tech to create new ultrahigh-res OLED display LINK

Friday, October 16, 2020

ALD Stories by Beneq - Meet Professor Riikka Puurunen of Aalto University, Finland

ALD Stories by Beneq - We meet Professor Riikka Puurunen of Aalto University in Finland, and discuss the history of ALD and other topics close to her heart. 



You may also wish to check out the articles we mentioned on this episode: 

A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy, Riikka Puurunen 

Thursday, October 15, 2020

Swedish NordAmps has developed InGaAs nanowire transistors with GAA high-k/metal gate

NordAmps in Lund, Sweden, has developed InGaAs nanowire transistors with GAA high-k /metal gate (HKMG) capable of the high frequencies required for 5G and 6G data transfer and logic data processing, with significantly lower energy consumption.

The structures are fully compatible with a standard 300 mm standard Si CMOS flow and require less mask steps compared with conventional technology.


NordAmps represents the convergence of research by global leaders in nanotechnology with leading edge application needs. 

Source: NordAmps LINK

 


 

 

Forge Nano incorporatesthe innovative US ALD company Sundew Technology

Forge Nano incorporates Sundew Technology. Improving speed, cost, and efficiency of nano coatings on wafers and objects.

[Press release, October 15, 2020 LINK] Nanotech company Forge Nano, incorporates cutting edge Sundew technology to their suite of nano-scale, surface engineering solutions.

The new line of industry leading tools offers high speed, cost effective, nano coatings for objects and wafers. Building upon success in the energy storage market, this additional product line is used to develop cutting edge technology in a number of applications, such as; RF Devices (MMICs), Displays, Lighting, Memory, Power Electronics, Medical Devices, Environmental Protection Barriers (nanolaminates) and many more. 


APOLLO uses millisecond response ALD manifold that integrates 10 patented Fast Pneumatic Valves (FPV) to deliver over 100 million trouble-free cycles of composite and nanolaminate ALD films. This manifold is the only ALD manifold that can switch composition every cycle without any throughput penalty (Forgenano.com).

Forge Nano will handle the Sales, Service and Manufacturing of all Sundew systems at their new state of the art Innovation lab near Denver, CO. With 16 commercial systems already in the field, Forge Nano is excited to serve customers around the world, and to expand the adoption of this exciting technology.

“Sundew developed and commercialized cost-effective, high efficiency ALD tools that combine breakthrough innovations to deliver substantial productivity improvements and superior performance. These ALD tools are up to 100x faster, up to 90% more efficient and produce >40x less waste than traditional ALD tools. They enable zero waste processes, faster deposition, thicker films, enhanced ability to deposit more difficult films, and substantially reduced cost and frequency of maintenance.” Ofer Sneh- President Sundew Technologies

“In order to solve big problems, industries are turning to nano solutions. We are excited to bring Sundew’s amazing technology into our portfolio. These additions broaden our ALD equipment capabilities which continue to lead the world in coating more surface area, faster, and more efficient than anyone on the globe. By leveraging our expertise in PALD and Sundew’s speed and efficiency breakthroughs we will continue to make nano coatings easier and cheaper than ever. The technologies of the future will be unlocked at the atomic level.” Dr. Paul Lichty– CEO Forge Nano.

The new systems; HELIOS, THEIA and APOLLO add to Forge Nano’s existing line of tools for powders, particles, and objects. These innovative solutions bring nano coatings for objects and wafers into an entirely new era of speed and efficiency.

The complete line of surface engineering solutions can be found at www.forgenano.com/products

Saturday, October 10, 2020

SPM is looking for Sales Engineers in Austria, Germany, and Italy

We are searching for a Sales Engineer in Austria, Germany, and one in Italy. If you have experience in Semiconductors please apply. 

Send us your CV and motivational letter to sales@spm.li 





Key Responsibilities: 
  • Maintaining and expanding business within the already established sales channels across Europe 
  • Care for the preservation of existing business ties 
  • Identify new markets, discover and explore further opportunities 
  • Build the businesses market position and maximize business growth 
  • Screening for innovations in the semiconductor industry  

Qualifications & Experience: 

  • Background in the semiconductor fab 
  • Technical education (physics, chemistry, electrical engineering) 
  • Sales background and experiences are advantages 
  • Experience in identifying new business opportunities 
  • Self-motivated, enthusiasm and people skills 
  • The joy of working with people in the field 
  • High level of presentation skills English language required, German for Austria or Germany and Italian for Italy

Tuesday, October 6, 2020

Imec demonstrates CNT pellicle utilization on EUV scanner

LEUVEN (Belgium, LINK) October 6, 2020 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, announced today promising results in extreme ultraviolet (EUV) reticle protection. Multiple CNT-based pellicles were mounted on reticles and exposed in the NXE:3300 EUV scanner at imec, demonstrating the successful fabrication and scanner handling of full-field CNT-based pellicles. The tested pellicles had a single-pass EUV transmission up to 97%. The impact on imaging was found to be low and correctable based on critical dimension (CD), dose, and transmission measurements.

A pellicle is a membrane used to protect the photomask from contamination during high-volume semiconductor manufacturing. It is mounted a few millimeters above the surface of the photomask so that if particles land on the pellicle, they will be too far out of focus to print. Developing such an EUV pellicle is very challenging, since 13.5nm light is absorbed by most materials. In addition, stringent thermal, chemical, and mechanical requirements must be achieved. Such highly transparent pellicle is critical to enable high yield and throughput in advanced semiconductor manufacturing. 

Imec demonstrates a CNT Pelicle (photo Imec.be)

Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts

“Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts,” said Emily Gallagher, principal member of technical staff at imec. “We have seen tremendous progress in carbon nanotube membrane development in the past year and, based on strong collaborations with our partners, are confident it will result in a high-performance pellicle solution in the near future.”

CNTs are one-atom-thick carbon sheets rolled into tubes. The CNTs can be single-, double- or multi-walled and can vary in diameter and in length. These engineered CNTs can be arranged in different configurations to form membranes of different densities. Since 2015, imec has been working with selected CNT suppliers (Canatu Oy and Lintec of America, Inc., Nano-Science & Technology Center) to develop membranes that meet the EUV pellicle targets for properties like transmittance, thermal durability, permeability, and strength and to enable the imaging results reported today. Future work will focus on achieving acceptable lifetimes for high volume manufacturing of these pellicles in scanners.

Heads up ALD-people! On Thursday the ALD Symposium at PRiME2020 start and it is FOR FREE!

This week, the Virtual PRiME 2020 Joint International Meeting will be held under the auspices of 

The Electrochemical Society (ECS)The Electrochemical Society of Japan (ECSJ), and The Korean Electrochemical Society (KECS).

Over 75% of the 3,300+ original abstracts, meant for presentation in Honolulu (Hawaii) this week, are available as digital presentations.

On the online program you can find all info on the presentation files (video, slides, and/or posters).

These can be viewed on-demand in open-access mode, from Oct. 4 – Nov. 9, 2020.

Attendance and participation in PRiME 2020 is FREE and OPEN TO ALL! Only your pre-registration is required.

This includes Symposium G02 that I co-organized: G02 - Atomic Layer Deposition Applications 16.

See the information below for some more general info on our ALD symposium, also on the live sessions on Oct. 7 and 8, Hawaii HST time (!))  

We were sponsored by




Picosun’s cluster ALD solutions enable next generation power electronics

ESPOO, Finland, 6th October 2020 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating solutions for global industries, strengthens its position in power electronics market with several cluster ALD system sales to prominent manufacturers in Europe, USA and Asia.

“Power electronics is an important, fast growing market for Picosun. ALD has potential to solve various challenges manufacturers are facing in this field, and our solutions have enabled our customers to create significant added value in terms of device quality and throughput. At Picosun, we have developed several turn-key production ALD solutions specifically for 4-8 inch wafer markets such as power devices. Especially our cluster ALD systems, such as the PICOSUN® Morpher which we launched last year, have been extremely well received by our customers,” says Juhana Kostamo, Head of Customer Solutions/Deputy CEO of Picosun.

Power components are crucial in a wide range of applications from consumer electronics to transportation, energy production and distribution, including renewables such as wind and solar power generation. These components are typically manufactured on 4-8 inch compound semiconductor wafers such as GaN and SiC. These materials provide various benefits compared to pure silicon, for example higher electron mobility, higher threshold voltage, and ability to operate at higher temperatures. Challenges do exist, however, as GaN and SiC power devices are prone to high interface trap density (leading to parasitic currents and reduced electron mobility) and gate leakage current, and poor threshold voltage stability.

Interface trap density can be reduced by combining pre-cleaning methods with high permittivity, large bandgap insulators. High quality, defect-free high-k dielectric layers such as Al2O3, AlN or ZrO2 etc. are key in reducing power devices’ gate leakage current and to improve electron mobility and threshold voltage stability. A good example here are GaN-based HEMTs (high electron mobility transistors), which are important in various large scale practical applications, and which require efficient gate insulation and surface passivation to achieve optimal functionality.

ALD stands as a superior deposition method here compared to other thin film coating technologies such as PECVD, as ALD produces the most conformal, uniform, and defect-free films with accurate, digitally repeatable thickness control and sharp interfaces. With the right selection of ALD deposition equipment, even multilayer processing is possible i.e. various functional material layers and/or stacked films/nanolaminates can be manufactured in one process run.

PICOSUN® Morpher is a disruptive ALD production platform designed for up to 8 inch wafer industries such as power electronics, MEMS, sensors, LEDs, lasers, optics, and 5G components. Morpher’s operational agility makes the system adaptable to various and changing manufacturing needs, on all business verticals from corporate internal R&D to production and foundry manufacturing, where both the end products and/or customers’ requirements may change rapidly. Morpher can handle several substrate materials, batch and substrate sizes, and ALD materials with leading process quality. Multilayer deposition is possible, and cluster design allows integration of also other processing units such as pre-clean, RIE etc. for fully automated, high throughput continuous vacuum operation.

“In its versatility and transformability, Morpher is the epitome of our principle ‘Agile ALD’. Innovation, constant development and improvement of our ALD solutions to enable our customers’ success is our driving force at Picosun. This applies also to Morpher platform and we have some truly exciting additions to this product family coming in the near future,” summarizes Kostamo.

Friday, October 2, 2020

TANAKA Develops "TRuST" Precursor for CVD/ALD Processes; Using Liquid Ruthenium Precursor to Achieve World's Highest Vapor Pressures

TOKYO, Sep 30, 2020 - (ACN Newswire) - TANAKA Holdings Co., Ltd. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka) announced today that TANAKA Kikinzoku Kogyo K.K. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka), which operates the TANAKA Precious Metals manufacturing business, has developed a new liquid ruthenium precursor named "TRuST" for CVD[1] and ALD[2] processes. The vapor pressure of "TRuST" is more than 100 times higher as compared to that to previous liquid ruthenium (element symbol Ru) precursors[3], which is currently the world's highest vapor pressure value at room temperature as per TANAKA's internal evaluation.

"TRuST" precursor for CVD and ALD processes 
 
Comparison of vapor pressure of precursor
 

During this precursor development, TANAKA Kikinzoku Kogyo focused on material design and synthesis research, while Professor Soo-Hyun Kim, Ph.D., School of Materials Science and Engineering, College of Engineering at Yeungnam University in South Korea, researched to optimize the film formation characteristics.

Technologies developed through this collaboration will help increase performance and reduce energy consumption for the semiconductor devices used in smartphones, computers, and the data centers where demand is to grow well into the future.

Precursors are metal-organic compounds used in chemical vapor deposition (CVD), atomic layer deposition (ALD) and other processes to form thin metal films or metal wiring on substrates. CVD and ALD processes enable the formation of films with superior step coverage on various types of base substrate. These are useful methods for forming films as semiconductors become increasingly miniaturized, with increased structural complexity, and narrower wires with every new generation.

TANAKA Kikinzoku Kogyo has developed a range of precious metal precursors over the years, including ruthenium precursors. This time, computer simulation and other techniques were used to achieve miniaturization and optimization of the molecular structure. This resulted in the successful development of a precious metal compound in a liquid form, with high vapor pressure, and thermal stability suited for film formation, all of which are important characteristics for precursors. As a result, this compound has the world's highest vapor pressure over 100 times higher than that of previous liquid ruthenium precursors. The higher the vapor pressure of precursors and the smaller their molecular structure, the higher the possible concentration of precursor in the film formation chamber, and the greater the adsorption density of precursor molecules on the substrate surface. Compared to previous precursors, this achieves excellent step coverage and improved film formation speed.

According to research carried out at Yeungnam University, ALD film formation at approximately 1.7 angstrom (A) per cycle is possible, which is the world's fastest of its type using liquid ruthenium precursor. Also, specific resistivity after film formation is approximately 13 microhm-cm, which is close to the value of bulk ruthenium metal (7.6 microhm-cm).

When bulk ruthenium is used for vapor deposition, with a temperature as high as 3000 degrees C. However, if it is converted into an organometallic compound of ruthenium precursor, it can be vaporized at low temperatures (between room temperature to 200 degrees C) under vacuum. As a result, the formation of high-quality ruthenium film with excellent step coverage at high productivity is expected. This has been an issue in the past because it is technically difficult to achieve all these characteristics at the same time. With the development of "TRuST" however, it is now possible.

Samples of Shipments of "TRuST" should begin shipping samples are planned to start in October 2020.

Background and TANAKA Kikinzoku Kogyo's development of precursor for CVD/ALD processes

In the past, the most common wiring materials used for semiconductors were copper, tungsten and cobalt. However, as semiconductors have evolved, there are increasing expectations for the precious metal ruthenium to promote further miniaturization of semiconductors because of its lower resistance and higher durability. With its superior characteristics, ruthenium is also being considered for use in transistor gate electrodes and DRAM capacitor electrodes.

The progress of technologies such as IoT, AI, and 5G over recent years has led to rapidly increasing volumes of digital data used in clouds, smartphones, and computers. Therefore, the need for even greater miniaturization of semiconductors is increasing to enable the creation of devices with higher performance and lower energy consumption. As a specialized manufacturer of precious metals, TANAKA Kikinzoku Kogyo will continue contributing to this evolution of semiconductors through the development of high-quality semiconductor materials.

With the support of Professor Soo-Hyun Kim, from the College of Engineering at Yeungnam University, a researcher from TANAKA Kikinzoku Kogyo will give a presentation of this technology at the "International Interconnect Technology Conference (IITC) 2020", which will be held online from October 5-8, 2020. The title of the presentation is High-growth-rate atomic layer deposition of high-quality Ru Using a Novel Ru metalorganic precursor.

[1] Chemical vapor deposition (CVD): CVD is a chemical film formation method. It is a method of forming thin metal films on the surfaces of base materials or substrates through a chemical reaction induced and accelerated by directing energy, in forms such as heat, plasma and light, at gaseous vapor material fed into a reaction chamber at pressures between atmospheric pressures to medium vacuum pressures (100-10-1 Pa).
[2] Atomic layer deposition (ALD): Both CVD and ALD are film formation methods that use chemical reactions inside reaction chambers to deposit material onto substrates through the vapor phase. The main difference between the two methods is that while CVD continually forms atomic and molecular layers, ALD forms the layers intermittently one layer at a time.
[3] Precursors: Precursors are compounds used as the raw material for forming thin metal films and metal wiring on substrates.

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA, co-hosted by Intel. The Emerging Materials Session III chaired by Nicolas Blasco (Air Liquide) and Steve Consiglio (Tokyo Electron) is full of ALD and ALE presentations.

During the conference and at "The not so usual Round Table Discussions," I will be chairing an ALD/CVD forum in our new WHOVA app (https://whova.com/)

Agenda: https://cmcfabs.org/agenda/


- Materials Requirements for Quantum Technologies, Satyavolu Papa Rao PhD NY Creates / SUNY Polytechnic Institute


- Materials Evolution & Challenges In ALD/EPI FEOL, Pamela Fischer PhD, ASM

 
- Selective Deposition for Advanced Patterning, Kandabara Tapily, PhD, TEL


- Selectors for Emerging Memories: How Are They "Materially" Different?, Milind Weling, EMD Performance Materials


- HfO2 Ferroelectrics for NVM and Piezo- and Pyro-sensing, Wenke Weinreich PhD, Fraunhofer IPMS-CNT Applications


- New Etching Gases for Advanced Memory Manufacturing, Ashutosh Misra, Electronics at Air Liquide


- ALD Precursor Developments for Mass Production of Future Semiconductor Devices, Akihiro Nishida, ADEKA


- ALD/CVD Precursor Applications & Market Trends, Terry Francis & Jonas Sundqvist PhD, TECHCET