Wednesday, April 10, 2019

In 2-Weeks: 2019 CMC Conference Highlights ALD/CVD Market and Technology Trends


In 2-Weeks: 2019 CMC Conference Highlights ALD/CVD  Market and Technology Trends 

 The upcoming CMC Conference, April 25-26, in Saratoga Springs, New York, will feature the latest forecasts on market drivers, trade issues, and technical issues facing precursors and other global materials supply-chains.  As shown below, CAGR for metal precursors is expected to exceed 11% through 2021.  Technology and Market Trends on atomic layer deposition (ALD) and chemical vapor deposition (CVD) precursors for IC fabs will be presented and discussed at this year's conference.

The Emerging Session will include: 
  • Dr. Jonas Sundqvist, Sr. Technology Analyst of TECHCET and Group Leader of Fraunhofer Institute will be revealing TECHCET's "Market and Technology Trend Forecasts for ALD & CVD Metal and Dielectric Precursors." (Sample shown below.) 
  • Dr. Matthew Stephens, VP of Sales and Product Management for Air Liquide, will provide a presentation on "Economic Considerations of ALD Precursor Selection."
  • Dr. David Thompson, Managing Director of Chemistry for Applied Materials, will present on "Preparing Supply-Chains and Managing Risk for an Uncertain Future on Emerging Devices."
  

Deputy CTO & VP of Worldwide R&D, GlobalFoundries  
 "Materials Challenges & Opportunities in Differentiated Technologies" 

 
3-Dynamic Sessions:
  1. Global Materials Supply-Chain and Market Issues
  2. Immediate challenges of materials & manufacturing 
  3. Emerging materials in R&D and pilot fabrication
Register now by clicking on the links, above, or go to: https://cmcfabs.org/cmc-conference-2019/

The public CMC Conference follows private CMC face-to-face meetings to be held April 23-24, 2019 at GlobalFoundries in Malta, New York.

Look Who's Coming - leading fabs, equipment & materials companies:
·        Samsung
·        Texas Instruments
·        GlobalFoundries
·        TowerJazz Panasonic
·        KFMI
·        Fraunhofer
·        Wonik
·        Ereztech
·        Matheson/TNSC
·        Linde
·        Inpria
·        IMEC
·        VLSI Research
·        SACHEM
·        Niacet
·        Grikin
·        Aveni
·        Silar Labs
·        ATI Metals
·        Momentive
 
·  STMicroelectronics
·  ON Semiconductor
·  Broadcom
·  TEL Technology Cntr
·  Umicore
·  Kinik
·  Revera/Nova
·  TECHCET
·  Strem Chemicals
·  Grikin
·  ATI Metals
·  Cryoin
·  MGC Pure Chemicals
·  Electronic Fluorocarbons
·  ShinHao Materials
·  Applied Seals
·  Peroxychem
·  Messer
·  MPD Chemicals
·  Mott Filters

·        Intel
·        Micron
·        Cypress
·        3M
·        IBM
·        Entegris
·        Air Liquide
·        Versum Materials
·        Air Products
·        Greene Tweed
·        Eastman
·        GrandiT
·        Edwards Vacuum
·        Mega Fluid Systems
·        Zing Semiconductor
·        Schrodinger
·        Boulder Scientific
·        Johnson Matthey
·        Veeco
·        ...and More!

2019 CMC Conference Sponsors:






Tuesday, April 9, 2019

Review Article: Atomic layer deposition of optoelectronic materials


Monday, April 8, 2019

USITC To Investigate Hanwha’s Claims

USITC To Investigate Hanwha’s Claims: Acting on Hanwha Q Cells' complaints of patent infringement in the US, an administrative law judge of the USITC will initially determine whether there has been a violation of Section 337 which will then be reviewed by the commission.


Meaglows New Hollow Cathode Plasma Source Designs Provide Better Quality Films

The University of Connecticut group of Dr. Necmi Biyikli, with others, have recently published a paper (J. Vac. Sci. and Technol. A 37 (2019) 020927) where they were able to achieve good quality, highly stoichiometric AlN using hollow cathode plasma-assisted atomic layer deposition (HCPA-ALD) with film densities near bulk values. Because of the high radical flux from the source, significantly lower RF power was required to achieve this improvement in material quality compared to past experience, and shorter plasma on cycles could be used at these lower powers (20 seconds at 100 watts compared to 40 seconds at 300 watts).

0.125 sec exposure 278 watt 4130 mTorr

Similar improvements in silicon nitride deposition were recently achieved by a team at the University of Texas, Dallas, where excellent quality, highly stoichiometric, high-density PA-ALD grown material was performed using one of our hollow cathode plasma sources (see, for instance, IEEE Electron Device Letters 39 (2018) 1195 ).

IMG_9895

The image shows the University of Connecticut plasma source with ellipsometer ports and sample entry door. The 4″ diameter source was custom made for use with an Okyay Tech ALD system.

Meaglow’s hollow cathode plasma sources are widely used by the ALD Research Community as replacements for inductively coupled plasma (ICP) sources because there is less oxygen contamination when depositing non-oxide materials. However, these recent papers, by the University of Connecticut and the University of Texas, Dallas, illustrate advantages that may be far more important for the industry moving forward. Those being an extremely high radical flux, to the point where the ion signal (ion densities are similar to ICP sources) is swamped by the signal of radicals during optical emission spectroscopy measurements, and relatively low plasma damage (see our company white paper on hollow cathode sources). These result in quicker deposition times with potentially more stoichiometric, better quality material.

Saturday, April 6, 2019

Amtech Systems plans to divest its solar businesses


Amtech Systems, a manufacturer of capital equipment and consumables used in fabricating semiconductor devices, LEDs, SiC and silicon power chips ans well as solar cells, is planning to sell its solar businesses.

Amtech management and Board of Directors have decided to focus solely on growth opportunities in the Company’s semiconductor and SiC/LED polishing businesses and intend to sell the Company’s solar businesses, including its Tempress and SoLayTec subsidiaries. 

Amtech’s J.S. Whang, Chairman and Chief Executive Officer, commented, “In November 2018 we announced that we had initiated a comprehensive review of our solar businesses.  In a February update we noted thus far our review strongly indicates that our combined Semi and SiC/LED polishing business provide better markets for enhancing the value of Amtech Group. We have recently completed our assessment and conclude, along with Tempress and SoLayTec management, that significant investment is required to effectively compete in the changing solar industry. We therefore conclude Tempress and SoLayTec would be better positioned to capitalize on opportunities in the solar industry under new ownership.”
 
Source: Evertiq LINK

Thursday, April 4, 2019

Yes! The ALD/CVD Paper bot on Twitter is activated!

Yes! The ALD/CVD Paper bot on Twitter is activated! 68 Tweets (Papers) and counting.

@CVD_ALD_papers
I'm a paper bot surveying publications covering CVD & ALD! Operated by @DennisZyw93 & @Semajobe (Ruhr University). Adapted open-source code from @fxcoudert.




Applied Optoelectronics orders MOCVD technology from AIXTRON

Photonics manufacturer expands production into growing laser business with multiple AIX 2800G4 systems

Herzogenrath/Germany, 2 April, 2019 – AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced the delivery of multiple AIX 2800G4 systems to US-based photonic maker Applied Optoelectronics, Inc. (AOI) which focuses on the design, development, and manufacturing of advanced optical devices, packaged optical components, optical subsystems, laser transmitters, and fiber optic transceivers. The delivered tools feature a 12x4-inch configuration.

AIX 2800G4-TM

The AIX 2800G4 platform has established itself as the market-leading tool for high-volume production of vertical-cavity surface-emitting lasers (VCSEL) for 3D sensors and other diode lasers due to the matchless performance of the Planetary Reactor® concept with respect to thickness and wavelength uniformity control of epitaxial layers. The system provides incomparably high efficiency in handling the expensive chemicals used for MOCVD processes while delivering maximum production yield of premium level laser devices. In addition to the excellent reproducibility of each individual system, customers also appreciate the very good repeatability amongst systems.

Dr. Klaus Anselm, Vice President of Semiconductor Products at AOI, comments: "Following our good experience with AIXTRON systems in the past, we will also utilize the proven Planetary® technology from Germany for our production expansion. The AIX 2800G4 has convinced us in all test phases, so that we are now looking forward to use the system for launching volume production of our next generation of optoelectronic components."

"We are very pleased that AOI has added our market-leading AIX 2800G4 tool to its existing manufacturing equipment. A system that has acquired a reputation over the past few years as the tool of record for the production of high-quality laser devices in the semiconductor industry. We are looking forward to the collaboration with AOI," says Dr. Bernd Schulte, President of AIXTRON SE.

Wednesday, April 3, 2019

Ionbond Equipment Division integrated into IHI Hauzer Techno Coating

Ionbond is pleased to announce the sale of its equipment division to fellow IHI Group company Hauzer Techno Coating.

Under Hauzer, which produces physical vapor deposition (PVD) equipment for the tribological, tool and decorative market, the equipment division will continue as an indpendent company under the name IHI Bernex. IHI Bernex will continue to work closely with the Ionbond group on key projects and developments. 

Maximize strength by expansion
Dave Doerwald, Hauzer CEO: “The Bernex portfolio is an excellent complement to the Hauzer portfolio, allowing the two companies to serve more customers together. We are both equipment manufacturers and speak the same language when it comes to doing business.” Dr. Michael Auger, Bernex CEO, adds: “Bernex is profitable and growing, and we are looking forward to the synergies that will come from working with Hauzer. Both companies have a lot to offer.”

The integration of the Ionbond equipment division into Hauzer is in line with the aim of the IHI Group to maximize synergies by aligning equipment production activities. “  Bernex will be an independent company within the Hauzer group, with the same people and the same business location in Olten, Switzerland. 


Leader in CVD Solutions
Bernex CVD systems set the industry standard in CVD enhancement of wear, friction and temperature protection of tools and components. Bernex machines are renowned for their reliability and have proven themselves in hundreds of installations worldwide. The company has over 45 years of experience with developing technology, equipment and recipes for CVD coatings. Auger: “CVD is in our DNA. We have expanded CVD technology to include CVA (for aluminum coatings) and CVI (for coating the internal surfaces of porous materials such as carbon fiber bodies). Our expertise in developing proprietary coatings for specific customer applications sets Bernex apart in the field.”

Bernex Expanding Production Capacity
Bernex engineering and manufacturing will remain in Olten, where it is expanding its production capacity. The Bernex Service Center Asia will remain in Kunshan, China.

For more information, see the new Bernex website: ihi-bernex.com

Shared by: Prof. Pedersen

VEECO Demonstrates Thought Leadership at Technical Conferences in 2Q/2019


Company’s Technologists to Present Innovations that Drive Industrial Scaling of Technology Megatrends in Big Data, Artificial Intelligence, Communications, Autonomous Vehicles, Displays and More

PLAINVIEW, New York, April 2, 2019—Veeco Instruments Inc. (Nasdaq: VECO) today announced its leading technologists are scheduled to speak at seven technical events throughout Q2 2019. The forthcoming presentations, which follow several notable appearances in Q1, highlight Veeco’s depth and breadth of expertise in working with customers to break through production-scale barriers that will ultimately lead to the wide adoption of game-changing trends in big-data, high-speed communications, artificial intelligence, autonomous vehicles, high resolution displays and more.

Veeco exhibiting and presenting at EFDS ALD for Industry (March 19-20; Berlin, Germany) “Atomic Layer Deposition Use for Decorative Applications” Ganesh Sundaram, Ph.D., vice president of Applied Technology, Veeco CNT. (Photo by Martin Knaut LINK)

Through these papers, Veeco explains how it solves tough materials engineering challenges with advances in its deposition, etch, lithography and wet processing technologies used in applications such as next-generation advanced packaging, photonics, sensors, MEMS, micro-LEDs, power electronics, high bandwidth memory and related devices. Presentations in the second quarter include:

  • MRS Spring Meeting & Exhibit (April 22-26; Phoenix, AZ)—Tania Henry, process development engineer, will present “Influence of Intermixing on Perpendicular Magnetic Anisotropy of Ion-Beam-Deposited CoFeB MTJs for STT-RAM,” on April 25 during the annual meeting focused on the future of materials science
  • Critical Materials Council Conference (April 25-26; Saratoga Springs, NY)—Drew Hanser, vice president of technology, will present at the fourth annual forum for discussing actionable information related to semiconductor fab materials. Hanser’s talk, “Material Integration Challenges for GaN on Si for Power and RF Devices,” takes place on April 25 during Session II: Immediate Challenges of Materials & Manufacturing
  • Society of Vacuum Coaters TechCon (April 27-May 2; Long Beach, CA)—At one of the world’s leading vacuum coating technology conferences, senior research scientist Binyamin Rubin will discuss “Monochromatic and Broadband Optical Monitoring for Deposition of Band Pass Filters,” on April 29. The Veeco team will also be exhibiting its latest advances in ion beam deposition systems for optical coatings at booth #229
  • CS ManTech (April 29-May 2; Minneapolis, MN)—Phillip Tyler, process development engineer, will present “Development of Advanced Lift Off Processes for 5G and VCSEL Applications.” Stop by Veeco’s booth #609 to learn more about the company’s latest innovations in compound semiconductor and wet processing technologies
  • ECTC (May 28-31; Las Vegas, NV)—Dr. Ajit Paranjpe, chief technology officer, will co-author a presentation on “High-Yield Precision Transfer and Assembly of Gallium Nitride (GaN) Micro-LEDs Using Laser Assisted Micro Transfer Printing,” with experts from the University of California, Los Angeles
  • EUVL Workshop (June 10-13; Berkeley, CA)—At this year’s workshop focused on the fundamental science of EUV lithography and its continued extension to support Moore’s Law, Sandeep Kohli, principal research scientist, will present “Ion Beam Technology Roadmap for EUV Mask Deposition and Absorber Etch Processes”
  • TechConnect World Innovation Conference and Expo (June 17-19; Boston, MA)—Dr. Ganesh Sundaram, vice president of applied technology, will present “Atomic Layer Deposition for Life Science Applications” on June 17 at the Nanomedicine Symposium
Veeco scientists also presented research at a number of key technical conferences in Q1, including:
  • SPIE Advanced Lithography (Feb. 24-28; San Jose, CA)
    • “Ion Beam Etching of Advanced Absorber Materials for Sub-5nm EUV Masks”
    • “Intra-field Stress Impact on Global Wafer Deformation” (alongside ASML and imec)
  • EFDS ALD for Industry (March 19-20; Berlin, Germany)
    • “Atomic Layer Deposition Use for Decorative Applications”
  • CS International (March 26-27; Brussels, Belgium)
    • “Accelerating Photonics Growth through Advances in High-Performance Arsenic/Phosphide (As/P) MOCVD and Wet Processing Technology”
“Veeco builds production-scale fab solutions that solve tough materials engineering problems for our customers,” said Ajit Paranjpe, Ph.D., chief technology officer. “Our technical contributions to these prestigious and peer-reviewed conferences demonstrate Veeco’s commitment to remaining at the forefront of technological advances, which ultimately have a positive impact on society through life-improving applications.”

About Veeco

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit www.veeco.com.

Tuesday, April 2, 2019

RASIRC to Highlight Precise Water Vapor Delivery in Area Selective Deposition

CTO featured as industry expert on panel at upcoming ASD Workshop

San Diego, Calif – March 29, 2019 – RASIRC will discuss test results for precise delivery of water vapor at the Area Selective Deposition (ASD) 2019 Workshop on April 4-5 in Leuven, Belgium. The company, a Silver Sponsor of the workshop, will review droplet and particle measurements as well as mass delivery under a variety of conditions. The RASIRC poster entitled “Precise Control of Water Vapor Delivery for ASD” will be displayed on Thursday April 4 and Friday April 5 as part of the workshop’s poster session. Additionally, RASIRC CTO Daniel Alvarez will be a speaking on the “Critical Challenges and Research Needs for ASD” panel during the 4th ASD workshop. The panel discussion will take place on Friday April 5 from 15:00 to 16:00.

“In order for the industry to take this to a high volume commercial level, we first must have an understanding of the underlying chemical pathways associated with ASD,” says Alvarez. “It is critical to control nucleation, which is best accomplished by the control of adsorption and surface properties on an atomic level.”
 
 
ASD Workshop is an annual event sponsored by ASM and IMEC. The workshop features leading academic and industry experts in surface chemistry, new processes, metrology, fields of applications and all technology needs and challenges for ASD.

“Controlled water addition at low concentration and temperature is vital to successful selectivity in ASD processes,” said Jeffrey Spiegelman, RASIRC President and Founder. “That is why we designed a water ampoule replacement that utilizes a membrane delivery system for precise delivery of droplet-free water vapor. Our data shows that we can achieve the required mass output in a repeatable and consistent manner.”

Spiegelman and Alvarez will be available to discuss the company’s ASD product line-up, which includes hydrogen peroxide and hydrazine gas delivery in addition to water vapor.

About RASIRC Products

RASIRC RHA enables the delivery of purified water vapor into low pressure processes. The membrane delivery system separates the liquid water and its headspace from directly seeing the process vacuum. The membrane assembly allows the mass transfer of water vapor at a known diffusion rate for a given set of parameters (temperature, pressure, surface area, carrier gas flow rate).

BRUTE® Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is more dense and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This can allow for better selectivity and less damage to metal surfaces in ASD processes.

BRUTE® Hydrazine enables uniform nitride deposition for Silicon and early transition metals at low temperature. BRUTE® Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

RASIRC Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

Additional RASIRC products include the RainMaker Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, wet thermal oxidation and residual carbon removal.

#diensttalk mit Dr. Jonas Sundqvist über Atomlagen und Lagom

Beim #diensttalk geben Mitarbeiter einen kleinen Einblick hinter die Kulissen von Europas größter Einrichtung für Keramikforschung und verraten, was sie bei ihrer Forschung antreibt. Dr. Jonas Sundqvist ist Experte im Bereich Atomlagenabscheidung und kam 2003 von Schweden nach Deutschland, um Speicherchips für die Halbleiterindustrie zu entwickeln. Im Video verrät er, wieviel Skandinavien in seiner Arbeit steckt.



Monday, April 1, 2019

Integration of high-k dielectric materials in a-IGZO thin film transistors by ALD

High field-effect mobility of Amorphous Oxide Semiconductor Thin Film Transistors (AOS TFT’s) has become more critical in switching devices for active matrix OLED’s (AMOLED). 
Out of many AOS materials, stable a-IGZO (Indium Gallium Zinc Oxide) TFT’s has shown high performance in display devices. However, the process integration of TFT’s is very complicated where a high number of parameters are to be considered and optimized to obtain maximum device performance. Therefore, it is essential to develop each process step through various device structure of thin film transistors using Back Channel Etch and self-aligned TFT. 
An example: Magnified image of the AMOLED screen on the Google Nexus One smartphone using the RGBG system of the PenTile matrix family (Wikipedia).
Currently, incorporation of high–k dielectric materials such as Al2O3 and HfO2 as a passivation layer and gate insulating material shows promising results in obtaining high performance of TFT’s in terms of low operating voltages, bias stability and reducing degradation by isolation from the external environment. A primary focus is on Al2O3 films by ALD technique, where the doping of film to the active layer (a-IGZO) is investigated through back channel etch (BCE) device structure by two different deposition temperatures followed by annealing studies. The performance of the self-aligned TFT's is primarily determined by the two factors :
I. Deposition temperatures of the passivation layer (Al2O3) 
II. Etching rate. 
The conclusions drawn from the studies of BCE applied to a-IGZO 10% O2 aelf–aligned (SA) device structures where Al2O3 layer deposited by ALD at 150 °C as a gate insulating material. The electrical characteristics of fabricated Thin Film Transistors are obtained to be very promising for further engineering applications. 
A deep study and understanding of each step in process flow and significance of Atomic Layer Deposition gave a fruitful insight into many aspects.
---------------------
Guest Blog by: Ravi Pendurthi, imec, Leuven, Belgium LinkedIn Profile




Tuesday, March 26, 2019

The Pictures from EFDS ALD for Industry in Berlin!

The Pictures from EFDS ALD for Industry in Berlin! All photos were as usual taken by Martin Knaut, and he did a fantastic job also this time. All presentations are available for download if you attended the event.

My presentation is available in Slide Share:

ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
LINK

Link for Photo show: LINK




Monday, March 25, 2019

Picosun’s high aspect ratio ALD enables 3D thin-film batteries

ESPOO, Finland, 25th March 2019 – Picosun Group, a leading supplier of advanced ALD (Atomic Layer Deposition) thin film coating technology for industrial production, reports excellent results in conformal ALD coatings for solid-state 3D thin-film batteries.

Solid-state thin-film batteries are increasingly needed in portable and wearable electronics such as smartphones, tablets, smart watches, autonomous sensors, and also in implantable medical devices. These batteries have to combine small, compact size with high energy density, which is why the next step is to move away from planar battery geometry to a three-dimensional one. In 3D thin-film batteries, nanostructured, corrugated high aspect ratio (HAR) structures multiply the active surface area and thus the battery’s charge storage capacity. 
 

Advanced manufacturing methods are called for to produce the functional layers such as electrodes and solid electrolyte inside these structures. ALD is able to create the highest quality conformal material layers inside HARs even as high as 1:3000, so it shows great potential for 3D thin-film battery manufacturing. Picosun’s high aspect ratio ALD is already utilized in various semiconductor and MEMS applications on an industrial scale, and now it has been successfully used in manufacturing solid-state 3D thin-film battery electrodes. Excellent results have been achieved already with the standard PICOSUN™ ALD reactor configuration with optimized process parameters, but for even more challenging HAR coating needs, Picosun’s patented Picoflow™ diffusion enhancing technology is ideal.

“The skyrocketing popularity of portable and wearable electronics creates a demand for compact and embeddable energy sources to power these devices. Solid-state 3D thin-film batteries are a strong candidate for this, and we at Picosun are happy to introduce our ALD solutions to the manufacturers. It is notable that even with our basic ALD we are able to create the functional layers with the highest conformality inside the batteries’ challenging HAR structures, and our approach is readily scalable to high volume industrial production. And, when these structures get even more complicated on nano-scale, our Picoflow™ technology is there to guarantee top quality deposition results with industry-proven reliability,” summarizes Mr. Juhana Kostamo, Managing Director of Picosun Group.

Sunday, March 24, 2019

Atomic Limits launch online data base for ALD processes

This week at the EFDS ALD for Industry Conference, Prof. Kessels from TU Eindhoven (NL) launched the Atomic Limits online database for ALD processes. The interface to the database is based on an awesome periodic table for easy navigation (based on the R. Puurunen 2005 JAP review).

As reported on the Atomic Limits blog, the data in the database is based on the data in the papers by: Riikka Puurunen, J. Appl. Phys. 97, 121301 (2005) and Ville Miikkulainen, Markku Leskelä, Mikko Ritala, and Riikka Puurunen, J. Appl. Phys. 113, 021301 (2013). Other major additions were based on the reviews by Harm Knoops, Tahsin Faraz, Karsten Arts and Erwin Kessels, to be published in J. Vac. Sci. Technol. A 37 (2019) and Adrie Mackus, Joel Schneider, Callisto MacIsaac, Jon Baker and Stacey Bent, Chem. Mater. 31, 1142 (2019).

Atomic Limits ALD Database LINK

Screen capture from the awesome periodic table of ALD with direct links to the refernces in the database (atomiclimits.com).

Saturday, March 23, 2019

Aledia Taps Veeco's Compound Semiconductor Expertise, Citing High-Quality Gallium Nitride Epitaxial Film Performance

Display Technology Innovator Expands Portfolio of Veeco Thin Film Process Technologies to Advance Next-Generation 3D Micro-LEDs

PLAINVIEW, New York, — Veeco Instruments Inc. (Nasdaq: VECO) announced today that Aledia, a developer and manufacturer of next-generation 3D LEDs for display applications, has expanded its portfolio of Veeco thin film process equipment to support the development and production of advanced 3D micro-LEDs. Aledia cited Veeco’s proven leadership in compound semiconductor applications, GaN-on-silicon growth performance, and capability to grow a full range of high-quality epitaxial films as key factors influencing its decision. 
 
 
Veeco’s Propel™ Power GaN MOCVD system is designed specifically for the power electronics industry. Featuring a single-wafer reactor platform, capable of processing six- and eight-inch wafers, the system deposits high-quality GaN films for the production of highly efficient power electronic devices.

“We have been impressed with the performance of Veeco’s Propel™ GaN MOCVD platform for large-wafer 3D LED production, and naturally turned to Veeco again to support our advanced LED development,” said Philippe Gilet, co-founder and CTO of Aledia. “Veeco’s solutions meet our rigorous material quality and system delivery requirements along with unmatched material flux stability and repeatability. We are excited to take the next step with them in producing next-generation 3D micro-LEDs.”

The collaboration between Aledia and Veeco reflects the immense promise of micro-LEDs and other advanced LEDs for the future of displays. Micro-LEDs offer high efficiency, brightness and reliability benefits with shorter response time, enabling lighter, thinner and flexible displays with energy saving advantages for applications such as wearables, smartphones, automotive, signage/large TVs, augmented reality/virtual reality, etc. According to a recent Yole Développement report, there have been close to 1,500 patents filed related to micro-LED display from 125 different companies, with the bulk of activity occurring after 2012.

“With the significant shift toward exploration of micro-LEDs for use in next-generation displays, leaders like Aledia are turning to Veeco,” said Gerry Blumenstock, senior vice president and general manager of Veeco’s compound semiconductor business unit. “Veeco’s proven materials engineering expertise puts us in a unique position to offer innovative thin film deposition technologies for customers tackling tough compound semiconductor research, development and production challenges.”

Veeco will exhibit and present at the CS International Conference, March 26-27, 2019 in Brussels, Belgium. Mark McKee, director of product marketing for Veeco’s MOCVD business unit, will present “Accelerating Photonics Growth through Advances in High Performance As/P MOCVD and Wet Processing Technology,” on March 27, 2019 at 9:50 a.m. CET.

Saturday, March 16, 2019

VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018 shown big wins for Japanese OEMs

VLSI Research report well above average growth for ASML (NL), Tokyo Electron (JPN), Advantest (JPN), Kokusai (JPN), Daifuku (JPN) and Canon (JPN) so a big win for Japan and the Netherlands last year. All Japanese companies outperform the market growth 2018!

Dan Hutchenson: "VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018. Notable shifts were TEL passing Lam to take the top spot. Advantest past Screen for 7th with the highest growth of any chip equipment manufacturer. While ASM Pacific passed SEMES. For details, see: https://lnkd.in/gDxccnX

Most growth is seen in Litho as for each Immersion or EUV tool that is installed a bunch of Tokyo Electron tools come as well like e.g. the TEL Track platform.

With respect to ALD, judging by ASMI, TEL and Kokusai it seems that ALD was able to capture all of the growth in 2018 and maybe a bit more. In April the Japanese companies start their 2018 annual reporting so then we will know more for now we have the ASMI report to study (LINK).



Friday, March 15, 2019

Samsung’s GAA Transistor, MBCFET™ aims at Reduced Size and Increased Performance

While chipmakers are struggling with the FinFET based chip production below 5 nm process nodes, Samsung has planned to opt for GAA (gate all around) architecture. Samsung’s GAA redesigns the transistor, making it more power-efficient and better-performing than the existing Multi Bridge Channel FET (MBCFET™) that utilize stacked nanosheets. 
 
Samsung’s patented MBCFET™ is formed as a nanosheet, allowing for a larger current and simpler device integration. It allows to reduce the operating voltage below 0.75 V that had been extremely difficult with FinFET. This yields to 50% less power consumption or 30% more performance at 45% less chip area compared to 7 nm FinFET technology. Also, Samsung's GAA technology is compatible with current FinFET production line that means the today's fab running on mature process tools and methodology can be utilized for GAA transistors. Here is the infographic to learn more about how Samsung’s GAA is advancing the future of semiconductor technology.

Source: Samsung LINK

Written by : Abhishekkumar Thakur and Jonas Sundqvist
 

Awesome ALD & CVD TECHCET Critical Materials Reports(TM) and Market Briefs

TECHCET Critical Materials Reports(TM) and Market Briefs

TECHCET CA LLC is an advisory services firm focused on materials supply-chain market analysis and technologies for electronic device markets. Now integrated with the Critical Material Council (CMC), TECHCET works with leading IC fabricators to solve supply-chain issues by way of CMC activities, Critical Material Reports™ (CMRs), Analyst meetings, and other services. Favorite topics include Silicon wafers, ALD/CVD precursors, CMP consumables, Gases, Photoresists, Metals/chemicals, Wet chemicals and China. For additional information about CMRs or CMC membership please contact us by clicking here,  call +1-480-332-8336, x 1, or go to www.techcet.com or www.cmcfabs.org.

CVD/ALD High K Metal Precursors – NEW!


The High K / ALD Metal Precursors report provides information on the applications and markets associated with front end and back end of line precursors used to produce high dielectric constant (K) dielectrics and atomic layer deposition metal oxides and nitrides.

Dielectric Precursors 2018 – Just Released!

The Dielectric Precursors Report provide detailed market, supply chain and technology trend information required for anyone developing strategy for their business, be it a materials supplier or semiconductor chip manufacturer. Precursor types include, gapfill, ILD, low K, and multi-patterning.

Market Briefs – Precursor Bulk Delivery Systems

Coming Soon – Detailed report about the precursor bulk delivery systems

Market Briefs – Precursor Development – ALD / CVD Systems and Precursors

The report details about the Precursor Development in ALD/CVD Systems and Precursors

Market Briefs – Precursor Development – How to take a precursor from lab to fab

Coming Soon – The precursor development briefing explains all about how to take it from lab to fab.


Thursday, March 14, 2019

Edwards announces the new iXH Mk2 Series

BURGESS HILL, England--(BUSINESS WIRE)--Mar 14, 2019--Edwards announces the new iXH Mk2 Series – a high efficiency, low-power, ultra harsh duty dry pump that meets today’s semiconductor process requirements and addresses tomorrow’s emerging application challenges in the semiconductor, display, LED and solar PV manufacturing processes. Edwards will showcase the new iXH Mk2 solution at SEMICON ® China, taking place March 20-22, SNIEC, Shanghai (booth 1309 FPD CHINA).
“The new iXH Mk2 dry pump provides an even longer service life than previous generations for the harshest of processes. It can also provide significant improvements in power efficiency,” said Al Brightman, Sr. Product Manager, Edwards. “Leveraging 100 years of technology innovation, we have ‘reset the bar’ for harsh process capability, reliability and low cost-of-ownership. The iXH reduces the environmental impact of some of the harshest processes used in semiconductor manufacturing.”

Stop by the booth to learn how the iXH Mk2 solves emerging process challenges in high-k, low-k, SACVD, LPCVD, ALD, TCO, GaN and EPI. Further information about Edwards can be found at www.edwardsvacuum.com.

Atomic Layer Deposition System to Drive Renewable Energy Innovation

March 14, 2019 : The Sharp Group at Walter Schottky Institute Adopts Veeco’s Fiji F200 ALD System for Advancement of Functional Semiconductors and Catalysts

PLAINVIEW, New York — Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. As a leader in the fabrication and characterization of functional semiconductors and catalysts, The Sharp Group will leverage the Fiji system as an integral part of its discovery and material development efforts for applications in renewable energy conservation. 


 
“The development of renewable energy solutions calls for increasingly complex thin films and nanostructures, and to advance our work in this area requires an approach that is adaptable for a wide range of deposition techniques,” said Professor Ian Sharp, Ph.D., head of The Sharp Group at WSI. “Veeco not only has the requisite technical expertise but also offers invaluable scientific support. With the Fiji ALD system purchase, we’ve made a valuable and long-lasting partnership.”

The Fiji system is a flexible, single-wafer ALD reactor designed to deliver best-in-class performance for both thermal and plasma-enhanced ALD processes. Its demonstrated ability to precisely control the composition, structure and phase of multicomponent materials is critical to The Sharp Group to accelerate energy conversion mechanisms and direct function properties. Veeco has installed over 550 ALD systems, more than any other R&D supplier, at leading universities and customer facilities worldwide. Backed by Veeco’s global service team, the company’s ALD portfolio includes the Fiji, Savannah®, Phoenix® andFirebird™ systems to support a full range of R&D and production applications.

“New materials engineering challenges and applications arise every day, making Veeco’s ALD platforms ideal for those seeking the most versatile plasma-enhanced systems and thermal tools available,” added Ganesh Sundaram, Ph.D., vice president of applied technology for Veeco’s ALD group. “We anticipate an extremely productive collaboration with Professor Sharp and his team at WSI as they develop new technologies for sustainability and energy innovation.”

Veeco will exhibit and present at the EFDS ALD for Industry 2019 conference, March 19-20 in Berlin, Germany. Dr. Ganesh Sundaram’s workshop presentation, “ALD Use for Decorative Applications,” is scheduled for 11:10 a.m. CET on Wednesday, March 20, 2019.