Showing posts with label Veeco. Show all posts
Showing posts with label Veeco. Show all posts

Tuesday, November 7, 2023

Veeco Delivers Groundbreaking NSA500 Annealing System to Tier 1 Logic Customer

Veeco Instruments Inc. has announced the delivery of its inaugural NSA500™ Nanosecond Annealing System to a premier logic customer, marking a significant stride in laser annealing technology and a chance to broaden its market reach. The NSA500 caters to the critical needs of advanced semiconductor nodes and 3D applications, offering precision annealing that spares the underlying device structure. This is particularly vital for low thermal budget and material modification processes. With the promise of a second system soon to ship to another leading customer, Veeco anticipates high-volume manufacturing orders by late 2024 or early 2025. The NSA500's versatility covers a spectrum of new applications, such as Backside Power Delivery and Contact Annealing, essential for cutting-edge semiconductor fabrication. Veeco’s technology, recognized for enhancing device performance and supporting customer product development, complements their existing laser annealing systems.




Source:

Friday, February 7, 2020

Veeco Introduces Suite of MOCVD Systems to Enable High Performance Photonics Devices

Plainview, N.Y. - Veeco Instruments Inc. (Nasdaq: VECO) introduced today the Lumina Metal Organic Chemical Vapor Deposition (MOCVD) platform, which incorporates the proprietary TurboDisc® reactor technology for outstanding film uniformity, yield and device performance for a variety of photonics applications. This new MOCVD platform, including the Lumina R480™ and Lumina R480S™ models, will accelerate the production of VCSEL, Edge-Emitting Laser (EEL) and Mini / Micro LED devices. 
 

(Image: Veeco)

In response to strong consumer demand for arsenic phosphide (As/P) MOCVD technology, the Lumina platform is advancing a new generation of high-efficiency photonics devices including VCSELs used in 3D sensing, autonomous driving and high-speed data communication. The Lumina platform is also designed for mini and micro LED production for advanced displays found in next-generation 4K and 8K televisions, smartphones and wearable devices, as well as EEL devices used for advanced optical communications and silicon photonics applications.

“Leading photonics manufacturers are currently seeing the benefits of our Lumina MOCVD system and are validating its impact in the manufacturing of high-volume photonics devices,” said Gerry Blumenstock, Senior Vice President, Product Line Management. “As the world’s leader in MOCVD equipment, the Lumina platform is the answer for the next generation VCSEL, EEL andmini / micro LED devices. With its proven design, technology and performance, Lumina provides exciting opportunities for the next generation of photonics devices.”

The Lumina R480 and R480S systems are based on Veeco’s industry leading MOCVD TurboDisc® technology which features excellent uniformity and low defectivity over long campaigns for exceptional yield and flexibility. In addition, Veeco’s proprietary technology drives uniform thermal control for excellent thickness and compositional uniformity. Providing a seamless wafer size transition, the system is capable of depositing high quality As/P epitaxial layers on wafers up to six inches in diameter. The R480 and R480S systems allow users to customize their systems for maximum value.

Veeco will be exhibiting at Photonics West in San Francisco, CA from Tuesday, February 4 to Thursday, February 6. Stop by booth number 1456 to learn more about Veeco’s MOCVD and ion beam sputtering solutions for photonics applications.

Friday, January 24, 2020

Program release - EFDS ALD for Industry 2020 in Freiburg, Germany (March 31-April 1)

A topical workshop with a focus on industrialization and commercialization of ALD for current and emerging markets

Atomic Layer Deposition (ALD) is used to deposit ultraconformal thin films with sub-nm film thickness control. The method is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. Today, ALD is a critical technology in leading-edge semiconductor technology, and the field of application in other industries is increasing rapidly. According to the market estimates, the equipment market alone is currently at an annual revenue of US$ 1.8-1.9 billion (2018), and it is expected to double in the next 4-5 years. In a European context, ALD was invented independently twice in Europe (Russia & Finland), and since the last 15 years, Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment, and end-users.
Keynote: Prof. Henrik Pedersen, Linköping University
Tutorials by : Bochum University, Lund University, Uppsala University, Oxford Instruments, TECHCET / Fraunhofer IKTS 
Presentations by: Air Liquide, BASF, CEA Leti, Encapsulix, Picosun, Veeco, Sentech, Beneq, Fraunhofer IPMS-CNT, Positive Coating, ATLANT 3D Nanosystems, Fraunhofer FMD 
This year we will organize the 4th Workshop „ALD For Industry“ in South Germany (Freiburg), much closer to the other ALD hubs in continental Europe in France, The Netherlands, Belgium, Italy, and Switzerland. ALD for Industry provides the opportunity to get in contact with industrial and academic partners to learn more about the fundamentals of ALD technology and to get informed about recent progress in the field. The Event will focus on the current markets for ALD and addresses the applications in the Semiconductor industry, MEMS & Sensors, Battery Technology, Medical, Display, Lightning, Barriers, and Photovoltaics.
Program and Information: LINK

ALD Industrial Exhibition (more in the pipeline):


Wednesday, April 3, 2019

VEECO Demonstrates Thought Leadership at Technical Conferences in 2Q/2019


Company’s Technologists to Present Innovations that Drive Industrial Scaling of Technology Megatrends in Big Data, Artificial Intelligence, Communications, Autonomous Vehicles, Displays and More

PLAINVIEW, New York, April 2, 2019—Veeco Instruments Inc. (Nasdaq: VECO) today announced its leading technologists are scheduled to speak at seven technical events throughout Q2 2019. The forthcoming presentations, which follow several notable appearances in Q1, highlight Veeco’s depth and breadth of expertise in working with customers to break through production-scale barriers that will ultimately lead to the wide adoption of game-changing trends in big-data, high-speed communications, artificial intelligence, autonomous vehicles, high resolution displays and more.

Veeco exhibiting and presenting at EFDS ALD for Industry (March 19-20; Berlin, Germany) “Atomic Layer Deposition Use for Decorative Applications” Ganesh Sundaram, Ph.D., vice president of Applied Technology, Veeco CNT. (Photo by Martin Knaut LINK)

Through these papers, Veeco explains how it solves tough materials engineering challenges with advances in its deposition, etch, lithography and wet processing technologies used in applications such as next-generation advanced packaging, photonics, sensors, MEMS, micro-LEDs, power electronics, high bandwidth memory and related devices. Presentations in the second quarter include:

  • MRS Spring Meeting & Exhibit (April 22-26; Phoenix, AZ)—Tania Henry, process development engineer, will present “Influence of Intermixing on Perpendicular Magnetic Anisotropy of Ion-Beam-Deposited CoFeB MTJs for STT-RAM,” on April 25 during the annual meeting focused on the future of materials science
  • Critical Materials Council Conference (April 25-26; Saratoga Springs, NY)—Drew Hanser, vice president of technology, will present at the fourth annual forum for discussing actionable information related to semiconductor fab materials. Hanser’s talk, “Material Integration Challenges for GaN on Si for Power and RF Devices,” takes place on April 25 during Session II: Immediate Challenges of Materials & Manufacturing
  • Society of Vacuum Coaters TechCon (April 27-May 2; Long Beach, CA)—At one of the world’s leading vacuum coating technology conferences, senior research scientist Binyamin Rubin will discuss “Monochromatic and Broadband Optical Monitoring for Deposition of Band Pass Filters,” on April 29. The Veeco team will also be exhibiting its latest advances in ion beam deposition systems for optical coatings at booth #229
  • CS ManTech (April 29-May 2; Minneapolis, MN)—Phillip Tyler, process development engineer, will present “Development of Advanced Lift Off Processes for 5G and VCSEL Applications.” Stop by Veeco’s booth #609 to learn more about the company’s latest innovations in compound semiconductor and wet processing technologies
  • ECTC (May 28-31; Las Vegas, NV)—Dr. Ajit Paranjpe, chief technology officer, will co-author a presentation on “High-Yield Precision Transfer and Assembly of Gallium Nitride (GaN) Micro-LEDs Using Laser Assisted Micro Transfer Printing,” with experts from the University of California, Los Angeles
  • EUVL Workshop (June 10-13; Berkeley, CA)—At this year’s workshop focused on the fundamental science of EUV lithography and its continued extension to support Moore’s Law, Sandeep Kohli, principal research scientist, will present “Ion Beam Technology Roadmap for EUV Mask Deposition and Absorber Etch Processes”
  • TechConnect World Innovation Conference and Expo (June 17-19; Boston, MA)—Dr. Ganesh Sundaram, vice president of applied technology, will present “Atomic Layer Deposition for Life Science Applications” on June 17 at the Nanomedicine Symposium
Veeco scientists also presented research at a number of key technical conferences in Q1, including:
  • SPIE Advanced Lithography (Feb. 24-28; San Jose, CA)
    • “Ion Beam Etching of Advanced Absorber Materials for Sub-5nm EUV Masks”
    • “Intra-field Stress Impact on Global Wafer Deformation” (alongside ASML and imec)
  • EFDS ALD for Industry (March 19-20; Berlin, Germany)
    • “Atomic Layer Deposition Use for Decorative Applications”
  • CS International (March 26-27; Brussels, Belgium)
    • “Accelerating Photonics Growth through Advances in High-Performance Arsenic/Phosphide (As/P) MOCVD and Wet Processing Technology”
“Veeco builds production-scale fab solutions that solve tough materials engineering problems for our customers,” said Ajit Paranjpe, Ph.D., chief technology officer. “Our technical contributions to these prestigious and peer-reviewed conferences demonstrate Veeco’s commitment to remaining at the forefront of technological advances, which ultimately have a positive impact on society through life-improving applications.”

About Veeco

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit www.veeco.com.

Saturday, March 23, 2019

Aledia Taps Veeco's Compound Semiconductor Expertise, Citing High-Quality Gallium Nitride Epitaxial Film Performance

Display Technology Innovator Expands Portfolio of Veeco Thin Film Process Technologies to Advance Next-Generation 3D Micro-LEDs

PLAINVIEW, New York, — Veeco Instruments Inc. (Nasdaq: VECO) announced today that Aledia, a developer and manufacturer of next-generation 3D LEDs for display applications, has expanded its portfolio of Veeco thin film process equipment to support the development and production of advanced 3D micro-LEDs. Aledia cited Veeco’s proven leadership in compound semiconductor applications, GaN-on-silicon growth performance, and capability to grow a full range of high-quality epitaxial films as key factors influencing its decision. 
 
 
Veeco’s Propel™ Power GaN MOCVD system is designed specifically for the power electronics industry. Featuring a single-wafer reactor platform, capable of processing six- and eight-inch wafers, the system deposits high-quality GaN films for the production of highly efficient power electronic devices.

“We have been impressed with the performance of Veeco’s Propel™ GaN MOCVD platform for large-wafer 3D LED production, and naturally turned to Veeco again to support our advanced LED development,” said Philippe Gilet, co-founder and CTO of Aledia. “Veeco’s solutions meet our rigorous material quality and system delivery requirements along with unmatched material flux stability and repeatability. We are excited to take the next step with them in producing next-generation 3D micro-LEDs.”

The collaboration between Aledia and Veeco reflects the immense promise of micro-LEDs and other advanced LEDs for the future of displays. Micro-LEDs offer high efficiency, brightness and reliability benefits with shorter response time, enabling lighter, thinner and flexible displays with energy saving advantages for applications such as wearables, smartphones, automotive, signage/large TVs, augmented reality/virtual reality, etc. According to a recent Yole Développement report, there have been close to 1,500 patents filed related to micro-LED display from 125 different companies, with the bulk of activity occurring after 2012.

“With the significant shift toward exploration of micro-LEDs for use in next-generation displays, leaders like Aledia are turning to Veeco,” said Gerry Blumenstock, senior vice president and general manager of Veeco’s compound semiconductor business unit. “Veeco’s proven materials engineering expertise puts us in a unique position to offer innovative thin film deposition technologies for customers tackling tough compound semiconductor research, development and production challenges.”

Veeco will exhibit and present at the CS International Conference, March 26-27, 2019 in Brussels, Belgium. Mark McKee, director of product marketing for Veeco’s MOCVD business unit, will present “Accelerating Photonics Growth through Advances in High Performance As/P MOCVD and Wet Processing Technology,” on March 27, 2019 at 9:50 a.m. CET.

Thursday, March 14, 2019

Atomic Layer Deposition System to Drive Renewable Energy Innovation

March 14, 2019 : The Sharp Group at Walter Schottky Institute Adopts Veeco’s Fiji F200 ALD System for Advancement of Functional Semiconductors and Catalysts

PLAINVIEW, New York — Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. As a leader in the fabrication and characterization of functional semiconductors and catalysts, The Sharp Group will leverage the Fiji system as an integral part of its discovery and material development efforts for applications in renewable energy conservation. 


 
“The development of renewable energy solutions calls for increasingly complex thin films and nanostructures, and to advance our work in this area requires an approach that is adaptable for a wide range of deposition techniques,” said Professor Ian Sharp, Ph.D., head of The Sharp Group at WSI. “Veeco not only has the requisite technical expertise but also offers invaluable scientific support. With the Fiji ALD system purchase, we’ve made a valuable and long-lasting partnership.”

The Fiji system is a flexible, single-wafer ALD reactor designed to deliver best-in-class performance for both thermal and plasma-enhanced ALD processes. Its demonstrated ability to precisely control the composition, structure and phase of multicomponent materials is critical to The Sharp Group to accelerate energy conversion mechanisms and direct function properties. Veeco has installed over 550 ALD systems, more than any other R&D supplier, at leading universities and customer facilities worldwide. Backed by Veeco’s global service team, the company’s ALD portfolio includes the Fiji, Savannah®, Phoenix® andFirebird™ systems to support a full range of R&D and production applications.

“New materials engineering challenges and applications arise every day, making Veeco’s ALD platforms ideal for those seeking the most versatile plasma-enhanced systems and thermal tools available,” added Ganesh Sundaram, Ph.D., vice president of applied technology for Veeco’s ALD group. “We anticipate an extremely productive collaboration with Professor Sharp and his team at WSI as they develop new technologies for sustainability and energy innovation.”

Veeco will exhibit and present at the EFDS ALD for Industry 2019 conference, March 19-20 in Berlin, Germany. Dr. Ganesh Sundaram’s workshop presentation, “ALD Use for Decorative Applications,” is scheduled for 11:10 a.m. CET on Wednesday, March 20, 2019.

Friday, March 1, 2019

The hardest, thinnest, most wear-resistant coatings yet by PEALD titanium and vanadium nitrides

[Lehigh University, Story by Christine Fennessy, shortened] In August 2018, the National Science Foundation (NSF) granted Strandwitz, an assistant professor of materials science and engineering, and Krick, an assistant professor of mechanical engineering and mechanics, a Grant Opportunities for Academic Liaison with Industry (GOALI) award to work with an industry partner to study what exactly makes these nitride films so good.

Low temps, conformality, and precision yield a diamond-like toughness

Titanium and vanadium nitride films are already known to be extremely hard and wear resistant. Traditionally, they’re grown by sputtering, pulsed laser deposition, or chemical vapor deposition methods. In a first, the group’s collaborators at Veeco/CNT grew their nitride films using plasma-enhanced atomic layer deposition, or PE-ALD. Veeco/CNT is a leading supplier of ALD systems based in Waltham, Massachusetts. 

 
“In atomic layer deposition, you’re building one layer of atoms at a time,” says Strandwitz. “It’s a technique that’s already used in microelectronics, like on those in your phone, where you might need a film that is exactly three nanometers thick. If the film is four, or two, nanometers thick, your transistor switch won’t work. And you have a few billion transistors in your phone.”
 
Source: Lehigh University (LINK

Wednesday, December 19, 2018

The semi equipment market will contract 2019 but grow 20.7 percent to reach an all-time high 2020

TOKYO – December 12, 2018 – Releasing its Year-End Total Equipment Forecast at the annual SEMICON Japan exposition, SEMI, the global industry association representing the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 9.7 percent to $62.1 billion in 2018, exceeding the historic high of $56.6 billion set last year. The equipment market is expected to contract 4.0 percent in 2019 but grow 20.7 percent to reach $71.9 billion, an all-time high. [Source: SEMI LINK

For 2019, SEMI forecasts that South Korea, China, and Taiwan will remain the top three markets, with all three regions maintaining their relative rankings. Equipment sales in South Korea is forecast to reach $13.2 billion, in China $12.5 billion, and in Taiwan $11.81 billion. Japan, Taiwan and North America are the only regions expected to experience growth next year. The growth picture is much more optimistic in 2020, with all regional markets expected to increase in 2020, with the market increasing the most in Korea, followed by China, and Rest of World [Source: SEMI LINK]

After a period of record growth in 2017-18, the semiconductor equipment industry is expected to face a slowdown in 2019. Logic is strong but memory is weak, and the trade issues between the United States and China are a cause for concern. According to a report in Semiengineering (LINK), heading into 2019, there is a shortfall of 200 mm equipment. The industry requires from 2,000-3,000 new or refurbished 200 mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200 mm tools on the market, according to the company. 200 mm tool prices will remain high. 300 mm tool prices are lower than 200 mm tool prices these days
For the ALD OEM market the situation is therefore heating up even though the. Currently the top 300 mm ALD equipment companies (ASM, TEL, Lam, Jusung, Wonik IPS, Applied Materials) does not actively support the market with pure play 200 mm products except for Large Batch Furnaces. So if you want a 200 mm single wafer ALD tool today you can buy a 300 mm tool and equip it with 200 mm handling or go to one of the smaller companies like Picosun, Veeco, Beneq, Oxford Instruments, which all have 200 mm ALD cluster products on the market today.
From presentation "ALD/CVD applications, equipment and precursors in high volume manufacturing" at SEMICON Europa 2018, available on SlideShare LINK.
----
Written by Abhishekkumar Thakur and Jonas Sundqvist

Saturday, December 1, 2018

Gooch & Housego Installs Veeco’s IBS System for Advanced Optical Coating Capabilities


PLAINVIEW, New York—Nov. 29, 2018—Veeco Instruments Inc. and Gooch & Housego (G&H), the world’s leading supplier of high quality superpolished optical components today announced the successful installation of Veeco’s SPECTOR® Ion Beam Sputtering (IBS) Optical Coating System at G&H’s Moorpark, Calif. facility. The new capability provided by SPECTOR supports G&H’s expanding portfolio of high-quality optics for ultraviolet, visible and infrared systems used in telecommunications, aerospace and defense, life science and industrial applications.

SPECTOR offers exceptional layer thickness control, enhanced process stability and the lowest published optical losses in the industry, and has become the IBS system of choice for over 200 advanced manufacturing settings worldwide. G&H will use this system to support its expanding portfolio of high-quality optics for UV, visible and infrared systems used across telecommunications, aerospace and defense, life science and industrial applications. 

“G&H is at the forefront of engineering a broad range of photonics technologies, leveraging optical coatings to advance crystal growth, electro-optics and fiber optics in next-generation applications,” said Adam Morrow, product line manager at G&H. “As we navigate the increasingly complex specifications required for these processes, we’ve turned to Veeco as a partner that can uphold our long-standing pedigree of high-quality optics.”

G&H’s growing presence in the laser optics landscape builds on the company’s tenured history as a supplier of high-quality photonics components. Complementing G&H’s superpolished surfaces, Veeco offers IBS coatings that achieve very low levels of total loss while maintaining surface roughness quality, density and exceptional environmental stability.


The SPECTOR IBS platform offers exceptional layer thickness control, enhanced process stability, and the lowest published optical losses in the industry. The system is engineered to improve key production parameters, such as target material utilization, optical endpoint control, and process time for cutting-edge optical coating applications. The SPECTOR platform, which is the preferred IBS system in the industry, has been installed in more than 200 advanced manufacturing settings across the world.


Monday, September 17, 2018

Veeco GEN10 Automated MBE Cluster System Wins Max Planck Institute Tender, Supporting Research of Oxide-Nitride Layer Structures

Prestigious Research Institute Cited Veeco’s Expertise in MBE and the High Reliability and Customization of the GEN10™ as Key Factors in its Decision

[Veeco, LINK] PLAINVIEW, N.Y., August 14, 2018—Veeco Instruments Inc. (NASDAQ: VECO) today announced that a dual chamber GEN10™ automated molecular beam epitaxy (MBE) cluster system won the tender offer by the Max Planck Institute of Microstructure Physics, Halle (Saale), Germany (MPI-MSP) to support world-class research on complex oxides. Demand for oxide-nitride layer structures has increased due to their enormous potential in enabling next-generation energy-efficient nano-devices and advanced data storage. The department of Nano-systems from Ions, Spins and Electrons (NISE) at the MPI-MSP will leverage Veeco’s MBE technology to expand research and develop innovative applications. 

Veeco's GENxplor R&D MBE System (Veeco.com)
“Our team is highly interested in exploring the properties of atomically engineered oxide-nitride layer structures especially because of their extraordinary properties but also for their potential in paving the way to novel energy-efficient nano-devices,” said Stuart Parkin, Director of the NISE Department at the MPI-MSP and Alexander von Humboldt Professor, Martin Luther University Halle-Wittenberg, Halle. “Veeco’s reputation and expertise in MBE combined with the GEN10’s high reliability, throughput, customization and automation capabilities will help support our research into novel materials.”

This win at MPI marks the first time Veeco has provided a fully integrated solution for a concentrated ozone source. The GEN10 allows for up to three configurable, material-specific growth modules, enabling high system utilization and allowing multiple researchers use the system at the same time to perform unattended growth. By expanding its reach in the R&D sector worldwide, Veeco is leading the way in helping grow complex oxide structures.

“As our MBE systems continue to expand their footprint in the global R&D space, we are honored that Veeco’s GEN10 MBE system was selected by the highly respected Max Planck Institute of Microstructure Physics in Halle,” noted Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We are pleased with the confidence Dr. Parkin and his team placed in our MBE expertise and we look forward to supporting the MPI-MSP as it continues to lead R&D exploration and applications for complex oxides.”

Saturday, September 8, 2018

Veeco present ALD coating that reduces failure in orthopedic implants

At the EFDS ALD For Industry Workshop in Dresden this spring Ganesh Sundaram Veeco presented on their recent developments employing ALD in Life Sciences [LINK]. One of the topics presented in hos presentation was how an ALD coating can reduce failure in orthopedic implants. Here is a recent article describing these studies in details that is well worth reading along with the scientific publications.

Typical titanium implant (Wikipedia) in Osteosynthesis, which is the operative treatment of bone fractures, mainly with metal nails, plates and screws.

Atomic Layer Deposition Coating Reduces Failure in Orthopedic Implants

Written by Luting Liu, Ritwik Bhatia, Thomas J. Webster
Novus Light Technologies Today

LINK: https://www.novuslight.com/atomic-layer-deposition-coating-reduces-failure-in-orthopedic-implants_N8392.html

Introduction:

Titanium (Ti) and its alloys have been extensively used as implant materials in orthopedic applications. However, implants may fail due to a lack of osseointegration and/or infection. Researchers endowed an implant surface with favorable biological properties by the dual modification of surface chemistry and nanostructured topography. The application of a nanostructured titanium dioxide (TiO2) coating on Ti-based implants is proposed to enhance tissue-implant interactions while inhibiting bacterial colonization simultaneously due to its chemical stability, biocompatibility, and antimicrobial properties.

Temperature-controlled atomic layer deposition (ALD) was found to provide an effective strategy to produce TiO2 coatings with delicate control of surface nano-topography and surface energy to enhance the interfacial biocompatibility and mitigate bacterial infection.



Ganesh Sundaram Veeco presenting recent developments employing ALD in Life Sciences at EFDS ALD For Industry in Dresden 2018 (Photo Dr. Martin Knaut).

Original publication [Open access]: Atomic layer deposition of nano-TiO2 thin films with enhanced biocompatibility and antimicrobial activity for orthopedic implants, Liu L, Bhatia R, Webster TJ, International journal of nanomedicine 8 December 2017 Volume 2017:12 Pages 8711—8723 DOI https://doi.org/10.2147/IJN.S148065

Wednesday, September 5, 2018

Veeco Announces Changes to Executive Leadership Team

PLAINVIEW, N.Y., September 4, 2018 —Veeco Instruments Inc. (NASDAQ: VECO) today announced that John Peeler, Chairman and Chief Executive Officer, will transition to the role of Executive Chairman, effective October 1, 2018. William J. Miller, currently President, will become Chief Executive Officer and will join the Company’s board of directors bringing the size of the board to eight. Additionally, Shubham (Sam) Maheshwari will be named Chief Operating Officer and will continue in his role as Chief Financial Officer. 

Peeler joined Veeco in 2007 as Chief Executive Officer and became Chairman of the Board in 2012. As Executive Chairman, Peeler will work closely with Miller and the Board to ensure an effective transition of management.

“With his impressive background and track record of notable achievements across strategic, product development and operational assignments, there is no one better suited than Bill to take over the helm as Veeco looks forward to its next chapter,” stated Peeler. “Bill and the executive team have the industry experience to execute Veeco’s vision while remaining committed to enabling tomorrow’s technology breakthroughs.”

Tuesday, August 14, 2018

VEECO GEN10 AUTOMATED MBE CLUSTER SYSTEM WINS MAX PLANCK INSTITUTE TENDER, SUPPORTING RESEARCH OF OXIDE-NITRIDE LAYER STRUCTURES

PLAINVIEW, N.Y., August 14, 2018Veeco Instruments Inc. (NASDAQ: VECO) today announced that a dual chamber GEN10™ automated molecular beam epitaxy (MBE) cluster system won the tender offer by the Max Planck Institute of Microstructure Physics, Halle (Saale), Germany (MPI-MSP) to support world-class research on complex oxides. Demand for oxide-nitride layer structures has increased due to their enormous potential in enabling next-generation energy-efficient nano-devices and advanced data storage. The department of Nano-systems from Ions, Spins and Electrons (NISE) at the MPI-MSP will leverage Veeco’s MBE technology to expand research and develop innovative applications.


“Our team is highly interested in exploring the properties of atomically engineered oxide-nitride layer structures especially because of their extraordinary properties but also for their potential in paving the way to novel energy-efficient nano-devices,” said Stuart Parkin, Director of the NISE Department at the MPI-MSP and Alexander von Humboldt Professor, Martin Luther University Halle-Wittenberg, Halle. “Veeco’s reputation and expertise in MBE combined with the GEN10’s high reliability, throughput, customization and automation capabilities will help support our research into novel materials.”
This win at MPI marks the first time Veeco has provided a fully integrated solution for a concentrated ozone source. The GEN10 allows for up to three configurable, material-specific growth modules, enabling high system utilization and allowing multiple researchers use the system at the same time to perform unattended growth. By expanding its reach in the R&D sector worldwide, Veeco is leading the way in helping grow complex oxide structures.
“As our MBE systems continue to expand their footprint in the global R&D space, we are honored that Veeco’s GEN10 MBE system was selected by the highly respected Max Planck Institute of Microstructure Physics in Halle,” noted Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We are pleased with the confidence Dr. Parkin and his team placed in our MBE expertise and we look forward to supporting the MPI-MSP as it continues to lead R&D exploration and applications for complex oxides.”
About Veeco
Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch & clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. With equipment designed to maximize performance, yield and cost of ownership, Veeco holds technology leadership positions in all these served markets. To learn more about Veeco's innovative equipment and services, visit
www.veeco.com.

Monday, August 6, 2018

Veeco Firebird(TM) - Batch Thermal ALD for High Volume Production

Earlier this year Veeco launched their new platform for Batch ALD for high volume production and now you can find more details on the Veeco product offering pages: LINK

Firebird - Batch ALD for High Volume Production

The Firebird™ system is a fully automated batch production ALD platform delivering superb uniformity with best-in-class throughput at the lowest possible cost-per-wafer. Integrating proven Veeco automation solutions, it enables safe wafer handling via low-impact batch transfer. Its modular pre-heat & cool-down design enables a flexible thermal management solution tailored around your specifications. The Firebird™ system’s high capacity reactor(s), low consumables/maintenance costs and compact footprint deliver the most cost-effective solution while exceeding your throughput requirements.

Firebird™ - ALD System for Specialized Wafer Production 

Key features include:

  • Ideal for oxide films, including encapsulation & barrier layers, optical coating
  • Best-in-class throughput (up to 40,000 wafers per month)
  • Proven Veeco automation
  • Safe wafer handling for fragile / temperature sensitive substrates (e.g., LNO / LTO / glass)
  • Modular thermal management for optimal process flexibility and throughput
  • Worldwide sales, service and support

Configurability advantage

The modular system configuration can be effectively tailored to minimize process flow bottlenecks and offers outstanding processing flexibility.

 2 reactors, 1 heat-up module

1 reactor, 2 heat-up modules

Wednesday, June 27, 2018

Delft University of Technology orders Veeco PEALD system

PLAINVIEW, New York, June 26, 2018 – Veeco Instruments Inc. (Nasdaq: VECO) today announced that the Materials for Energy Conversion and Storage Group (MECS) at Delft University of Technology has ordered its Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. Based in The Netherlands, MECS selected the Veeco tool for its superior performance for research on state-of-the-art materials for renewables, storage and advanced energy solutions. 

“Our colleagues with the Kavli Nanolab at Delft have reported great success working with the Fiji F200 for their nanotechnology R&D, and we are confident the system’s capabilities will also serve us well as we pursue new materials for sustainable energy applications,” said Fokko Mulder, professor of applied sciences and integrated energy systems at Delft University of Technology. “In particular, we were drawn to the Fiji’s world-class reputation, flexible PE-ALD system architecture, and excellent service and support backed by the technical expertise of Veeco’s ALD scientists. After evaluating different options, the Fiji F200 proved the best platform to meet our advanced experimentation needs.”

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of thermal and plasma-enhanced deposition modes using multiple configurations of precursors and gases. Veeco continues to be in the forefront of ALD R&D for energy storage with premier research published in the field of solid-state lithium- and sodium-ion batteries, including stoichiometric multicomponent lithium oxides for higher capacity 3D electrodes, safer solid-state electrolytes, and ultrathin phosphate/aluminate encapsulation layers for improved battery lifetime. With over 500 systems installed worldwide, Veeco’s ALD platforms are used in a wide variety of research and industrial environments, including 3D nanofabrication, electronics, batteries, solar cells, energy and compound semiconductors, as well as exciting new applications to solve some of the world’s most pressing technology and resource challenges.

“The MECS group is one of the top research departments in the world working to meet the growing demand for renewable sources and energy storage solutions,” said Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We look forward to helping Professor Mulder and his team at Delft to maximize the benefits of ALD for this important research.”

According to the U.S. Energy Information Administration, global energy consumption will increase by 28 percent between 2015 and 2040, and renewables are the fastest-growing energy source with adoption expected to increase by an average of 2.3 percent each year through 2040. The intermittent nature of renewables is also driving the importance of advanced energy storage research and solutions. The global market for energy storage of renewables is predicted by Navigant Research to grow exponentially from its current nascent stage to reach $23 billion by 2026.

Wednesday, January 10, 2018

Osram orders multi-reactor Propel HVM and K475i MOCVD systems from Veeco for high-volume photonics and LED applications

Epitaxial deposition and process equipment maker Veeco Instruments Inc of Plainview, NY, USA says that Osram Opto Semiconductors GmbH of Regensburg, Germany has ordered a multi-reactor Propel High-Volume Manufacturing (HVM) gallium nitride (GaN) metal-organic chemical vapor deposition (MOCVD) system, as well as K475i MOCVD systems. 

The K475i system incorporates Veeco’s Uniform FlowFlange technology, producing films with very high uniformity and improved within-wafer and wafer-to-wafer repeatability with what is claimed to be the industry’s lowest particle generation for demanding applications like photonics and advanced LEDs.  

Source: Semiconductor Today LINK



Incorporating proprietary TurboDisc and Uniform FlowFlange™ MOCVD technologies, the new K475i system enables Veeco customers to reduce LED cost per wafer by up to 20 percent compared to alternative systems through higher productivity, best-in-class yields and reduced operating expenses. (Source: Veeco LINK)

Saturday, July 22, 2017

Veeco CNT ships its 500th ALD system

As reported by Solid State Technology: Veeco Instruments (Veeco) recently announced that Veeco CNT—formerly known as Ultratech/Cambridge Nanotech—shipped its 500th Atomic Layer Deposition (ALD) system to the North Carolina State University. The Veeco CNT Fiji G2 ALD system will enable the University to perform research for next-generation electronic devices including wearables and sensors. Veeco announced the overall acquisition of Ultratech on May 26 of this year. Executive technologists from Veeco discussed the evolution of ALD technology with Solid State Technology in an exclusive interview just prior to SEMICON West 2017.

Please find the article and interview by Ed Korczynski here (LINK)

Evolution of Atomic-Layer Deposition (ALD) technology starts with single-wafer thermal chambers, adds plasma energy, and then goes to batch processing for manufacturing. (Source: Veeco CNT, used with permision).


Saturday, May 27, 2017

Veeco Completes Acquisition of Ultratech

Thin-film etch and deposition process equipment maker Veeco Instruments Inc of Plainview, NY, USA has completed its acquisition of Ultratech Inc of San Jose, CA, USA (which designs and makes lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs...


Source: Semiconductor Today
Read full article >

Thursday, February 2, 2017

Veeco Enters into Agreement to Acquire Ultratech


Ultratech, Inc.
Feb 2, 2017

PLAINVIEW, NY and SAN JOSE, CA -- (Marketwired) -- 02/02/17 --
  • Creates a leading equipment supplier to the growing Advanced Packaging industry
  • Increases scale and revenue diversification to improve profitability through industry cycles
  • Transaction valued at approximately $815 million, expected to be immediately accretive to non-GAAP EPS
  • Conference Call at 5:00pm ET today
Veeco Instruments Inc. (NASDAQ: VECO), a global leader of advanced thin film etch and deposition process equipment, and Ultratech, Inc. (NASDAQ: UTEK), a leading supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and LEDs, today announced that they have signed a definitive agreement for Veeco Instruments Inc. ("Veeco") to acquire Ultratech, Inc. ("Ultratech"). The Boards of Directors of both Veeco and Ultratech have unanimously approved the transaction.

Ultratech shareholders will receive (i) $21.75 per share in cash and (ii) 0.2675 of a share of Veeco common stock for each Ultratech common share outstanding. Based on Veeco's closing stock price on February 1, 2017, the transaction consideration is valued at approximately $28.64 per Ultratech share. The implied total transaction value is approximately $815 million and the implied enterprise value is approximately $550 million, net of Ultratech's net cash balance as of December 31, 2016. Post transaction it is projected that Ultratech shareholders will own approximately 15 percent of the combined company.

Ultratech is a recognized leader of lithography products for Advanced Packaging applications and for LEDs and is a pioneer for laser spike anneal technology used for the production of semiconductor devices. In addition, the company offers wafer inspection solutions leveraging its proprietary coherent gradient sensing (CGS) technology which address a wide variety of semiconductor applications.

"The strategic combination will establish Veeco as a leading equipment supplier in the high growth Advanced Packaging industry. Ultratech's leadership in lithography together with Veeco's Precision Surface Processing (PSP) solutions form a strong technology portfolio to address the most critical Advanced Packaging applications. We believe our complementary end market exposure and customer relationships will create the ideal platform to accelerate growth," said John R. Peeler, Veeco's Chairman and Chief Executive Officer. "Ultratech is a great fit with our strategy to profitably grow our business and diversify our revenue. We expect this transaction to be immediately accretive to adjusted EBITDA and non-GAAP EPS."

Ultratech Chairman and Chief Executive Officer, Arthur W. Zafiropoulo said, "Both companies have a strong heritage of developing innovative and cutting-edge technologies. The combined company will create a formidable team to execute against growth opportunities and deliver significant value to customers and shareholders."

Veeco expects to realize approximately $15 million in annualized run rate synergies within 24 months after closing, to be achieved through increased efficiencies and leveraging the scale of the combined businesses. The combined company is expected to have an efficient balance sheet, benefiting from the deployment of excess cash.
0
The transaction is expected to close in the second calendar quarter of 2017, subject to approval by Ultratech shareholders, regulatory approvals in the U.S. and other customary closing conditions.