Monday, October 26, 2015

Atomic Layer Deposition (ALD) in Energy, Environment, and Sustainability

Atomic Layer Deposition (ALD) in Energy, Environment, and Sustainability

Figure
Figure. Schematic diagram of an ideal surface coating layer on active materials. Image provided by Xueliang Sun.

Guest Editors

Hongjin FanNanyang Technological University, Singapore
Yongfeng MeiFudan University, China
Mato KnezCIC nanoGUNE Research Center, Spain

Scope

The essential characteristics of an atomic layer deposition (ALD) reaction are the sequential self-limiting surface reactions to achieve conformal thin films with sub-monolayer thickness control. This advantage over other deposition processes renders a wide range of applications. While ALD was conventionally applied mainly in semiconductor electronic industry, recently, it is receiving increasing attention for wider applications in energy, environment, and sustainability research, with the advance in recipe development.
This focus collection will centre on the increasing importance of ALD techniques in developing innovative nanoscale materials, processes, devices, and systems relating to energy and environmental applications. Original and Review work detailing the development of energy nanomaterials and devices, including photovoltaics, batteries and supercapacitors, fuel cells, photocatalysts, and photoelectrochemical cells are solicited. Additionally developments in nanophotonics, including applications of ALD in new plasmonics, nanoscale laser, and metamaterials research are included. Interest of this collection also extends to innovations in chemical and biosensing using ALD, for example, organic pollution degradation, surface plasmon sensors, and quantum dot biomarkers.
The scope of this collection includes:
  • Fabrication and synthesis
  • Energy storage and conversion
  • Micro and nano-photonics
  • Sensor for environment and healthcare
  • Devices integration and reliability
We hope this issue provides a broad overview of the current state and guidance to the future.

Invited reviews

Applications of atomic layer deposition in solar cellsOPEN ACCESSWenbin Niu, Xianglin Li, Siva Krishna Karuturi, Derrick Wenhui Fam, Hongjin Fan, Santosh Shrestha, Lydia Helena Wong and Alfred Iing Yoong Tok2015 Nanotechnology 26 064001

Viewpoints

Papers

The effect of ALD-grown Al2O3 on the refractive index sensitivity of CVD gold-coated optical fiber sensorsDavid J Mandia, Wenjun Zhou, Matthew J Ward, Howie Joress, Jeffrey J Sims, Javier B Giorgi, Jacques Albert and Seán T Barry2015 Nanotechnology 26 434002
Extremely high efficient nanoreactor with Au@ZnO catalyst for photocatalysisChung-Yi Su, Tung-Han Yang, Vitaly Gurylev, Sheng-Hsin Huang, Jenn-Ming Wu and Tsong-Pyng Perng2015 Nanotechnology 26 394001
Highly photocatalytic TiO2 interconnected porous powder fabricated by sponge-templated atomic layer depositionShengqiang Pan, Yuting Zhao, Gaoshan Huang, Jiao Wang, Stefan Baunack, Thomas Gemming, Menglin Li, Lirong Zheng, Oliver G Schmidt and Yongfeng Mei2015 Nanotechnology 26 364001
Control of the initial growth in atomic layer deposition of Pt films by surface pretreatmentJung Joon Pyeon, Cheol Jin Cho, Seung-Hyub Baek, Chong-Yun Kang, Jin-Sang Kim, Doo Seok Jeong and Seong Keun Kim2015 Nanotechnology 26 304003
Deposition of uniform Pt nanoparticles with controllable size on TiO2-based nanowires by atomic layer deposition and their photocatalytic propertiesChih-Chieh Wang, Yang-Chih Hsueh, Chung-Yi Su, Chi-Chung Kei and Tsong-Pyng Perng2015 Nanotechnology 26 254002
In-situ atomic layer deposition of tri-methylaluminum and water on pristine single-crystal (In)GaAs surfaces: electronic and electric structuresT W Pi, Y H Lin, Y T Fanchiang, T H Chiang, C H Wei, Y C Lin, G K Wertheim, J Kwo and M Hong2015 Nanotechnology 26 164001
Pd nanoparticles on ZnO-passivated porous carbon by atomic layer deposition: an effective electrochemical catalyst for Li-O2 batteryXiangyi Luo, Mar Piernavieja-Hermida, Jun Lu, Tianpin Wu, Jianguo Wen, Yang Ren, Dean Miller, Zhigang Zak Fang, Yu Lei and Khalil Amine2015 Nanotechnology 26 164003
Inert ambient annealing effect on MANOS capacitor memory characteristicsNikolaos Nikolaou, Panagiotis Dimitrakis, Pascal Normand, Dimitrios Skarlatos, Konstantinos Giannakopoulos, Konstantina Mergia, Vassilios Ioannou-Sougleridis, Kaupo Kukli, Jaakko Niinistö, Kenichiro Mizohata, Mikko Ritala and Markku Leskelä2015 Nanotechnology 26 134004
Impact of the atomic layer deposition precursors diffusion on solid-state carbon nanotube based supercapacitors performancesGiuseppe Fiorentino, Sten Vollebregt, F D Tichelaar, Ryoichi Ishihara and Pasqualina M Sarro2015 Nanotechnology 26 064002
Deposition of ultra thin CuInS2 absorber layers by ALD for thin film solar cells at low temperature (down to 150 °C)Nathanaelle Schneider, Muriel Bouttemy, Pascal Genevée, Daniel Lincot and Frédérique Donsanti2015 Nanotechnology 26 054001
Photocatalytic activity and photocorrosion of atomic layer deposited ZnO ultrathin films for the degradation of methylene blueYan-Qiang Cao, Jun Chen, Hang Zhou, Lin Zhu, Xin Li, Zheng-Yi Cao, Di Wu and Ai-Dong Li2015 Nanotechnology 26 024002
Influence of the oxygen plasma parameters on the atomic layer deposition of titanium dioxideStephan Ratzsch, Ernst-Bernhard Kley, Andreas Tünnermann and Adriana Szeghalmi2015 Nanotechnology 26 024003
Gas sensing properties and p-type response of ALD TiO2 coated carbon nanotubesCatherine Marichy, Nicola Donato, Mariangela Latino, Marc Georg Willinger, Jean-Philippe Tessonnier, Giovanni Neri and Nicola Pinna2015 Nanotechnology 26 024004
Air-Stable flexible organic light-emitting diodes enabled by atomic layer depositionYuan-Yu Lin, Yi-Neng Chang, Ming-Hung Tseng, Ching-Chiun Wang and Feng-Yu Tsai2015 Nanotechnology 26 024005
Uniform GaN thin films grown on (100) silicon by remote plasma atomic layer depositionHuan-Yu Shih, Ming-Chih Lin, Liang-Yih Chen and Miin-Jang Chen2015 Nanotechnology 26 014002
NiO/nanoporous graphene composites with excellent supercapacitive performance produced by atomic layer depositionCaiying Chen, Chaoqiu Chen, Peipei Huang, Feifei Duan, Shichao Zhao, Ping Li, Jinchuan Fan, Weiguo Song and Yong Qin2014 Nanotechnology 25 504001
Electrochemical synthesis of highly ordered nanowires with a rectangular cross section using an in-plane nanochannel arrayPhilip Sergelius, Josep M Montero Moreno, Wehid Rahimi, Martin Waleczek, Robert Zierold, Detlef Görlitz and Kornelius Nielsch2014 Nanotechnology 25 504002
Highly ordered and vertically oriented TiO2/Al2O3 nanotube electrodes for application in dye-sensitized solar cellsJae-Yup Kim, Kyeong-Hwan Lee, Junyoung Shin, Sun Ha Park, Jin Soo Kang, Kyu Seok Han, Myung Mo Sung, Nicola Pinna and Yung-Eun Sung2014 Nanotechnology 25 504003
Distinguishing plasmonic absorption modes by virtue of inversed architectures with tunable atomic-layer-deposited spacer layerYun Zhang, Kenan Zhang, Tianning Zhang, Yan Sun, Xin Chen and Ning Dai2014 Nanotechnology 25 504004
Cellulose nanofiber-templated three-dimension TiO2 hierarchical nanowire network for photoelectrochemical photoanodeZhaodong Li, Chunhua Yao, Fei Wang, Zhiyong Cai and Xudong Wang2014 Nanotechnology 25 504005
Atomic layer deposition of lithium phosphates as solid-state electrolytes for all-solid-state microbatteriesBiqiong Wang, Jian Liu, Qian Sun, Ruying Li, Tsun-Kong Sham and Xueliang Sun2014 Nanotechnology 25 504007
Nanostructured TiO2/carbon nanosheet hybrid electrode for high-rate thin-film lithium-ion batteriesS Moitzheim, C S Nimisha, Shaoren Deng, Daire J Cott, C Detavernier and P M Vereecken2014 Nanotechnology 25 504008

JUST RELEASED: TECHCET 2015-16 Dielectric Precursors Report

JUST RELEASED: 2015-16 Dielectric Precursors Report
The 2015 market for dielectric precursors is expected to total $230M, of which over 25% is attributed to low-k dielectrics, according to the new 2015-16 TECHCET Critical Materials Report on Dielectric Precursors. New players are getting into this growing market, where SOD still represents ~50% of total revenues. Multi-patterning schemes and high aspect ratio features in both logic and memory devices are driving the adoption of novel low κ dielectrics, innovative gap fill processes and sacrificial layers to support integration of FinFET's and advanced Flash and DRAM capacitor stacks. Click here for details on how to get the Dielectric's Report. 
Dielectric Precursor Market Size Forecast ($USD)
Precursor revenue by type, see report for details.
What is included in the report?
TECHCET's 2015 Dielectric Precursors Report provides strategic information on the dielectrics market, including revenue by precursor type/application, and market share ranking. It also includes critical information used to ensure business continuity and support category management of the CVD, ALD, and SOD dielectric markets and their supply chains. In addition to business and technology trends, supply chain, and geopolitical issues that impact dielectric precursors are also discussed in this year's report.
TECHCET Celebrates 15 Years of Critical Materials!
In celebration of fifteen years of Critical Materials Reports, TECHCET is offering a special price on Report Advisories. Report Advisories on Gases, CMP, Wet Chem, Targets, Dielectric, and Si Wafers are discounted 30%. Advisories include the TECHCET Critical Materials report, Quarterly Forecast Updates, Supply Alerts, Updates on China raw materials, Supplier Profiles, Technical Trends, and more. The promo code 15CMRA2015 is good until November 27, 2015.


For more information, email us at info@techcet.com

Sunday, October 25, 2015

VPHA ALD history blog: ALD-history-evolving-file has a table of contents

VPHA ALD history blog: ALD-history-evolving-file has a table of contents: The ALD-history-evolving-file has been updated today, mainly to accommodate the Update to the Introduction. At the same time, to make it eas...

VPHA ALD history blog: VPHA status update 2015-10-21

VPHA ALD history blog: VPHA status update 2015-10-21: An email status update was sent on October 21, 2015, to VPHA co-authors and prospective co-authors. Shortened version below.   If you would...

VPHA ALD history blog: Minor Wikipedia update: ALE essay added

VPHA ALD history blog: Minor Wikipedia update: ALE essay added: One of the action items in the Virtual Project on the History of ALD (VPHA) is to update the Wikipedia Atomic Layer Deposition section  htt...

Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition

Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition

Fatemeh Sadat Minaye Hashemi, Chaiya Prasittichai, and Stacey F. Bent

ACS Nano, 2015, 9 (9), pp 8710–8717


Author Fatemah Hashemi discusses their new atomic layer deposition (ALD) approach, which combines selective etching with selective depositon. Read the related ACS Nano article at http://pubs.acs.org/doi/abs/10.1021/a...


Nanoscale patterning of materials is widely used in a variety of device applications. Area selective atomic layer deposition (ALD) has shown promise for deposition of patterned structures with subnanometer thickness control. However, the current process is limited in its ability to achieve good selectivity for thicker films formed at higher number of ALD cycles. In this report, we demonstrate a strategy for achieving selective film deposition via a self-correcting process on patterned Cu/SiO2 substrates. We employ the intrinsically selective adsorption of octadecylphosphonic acid self-assembled monolayers on Cu over SiO2 surfaces to selectively create a resist layer only on Cu. ALD is then performed on the patterns to deposit a dielectric film. A mild etchant is subsequently used to selectively remove any residual dielectric film deposited on the Cu surface while leaving the dielectric film on SiO2 unaffected. The selectivity achieved after this treatment, measured by compositional analysis, is found to be 10 times greater than for conventional area selective ALD.

Saturday, October 24, 2015

Ferroelectric HfO2 enable giant pyroelectric energy conversion and highly efficient supercapacitors

A new application for energy harvesting and storage of ferroelectric hafnium oxide has been investigated and proven by researchers at NaMLab in Dresden, RWTHA Aachen and TU Munich, Germany. One major advantage of the use of hafnium oxide over other materials is the low cost of fabrication of these films while it has been proven feasible by existing semiconductor process technology like in ALD in CMOS high-k / metal gate and high-k node dielectric for DRAM capacitors.

To summarize this investigation:
  • Ferroelectric phase transitions in Si:HfO2 thin films yield giant pyroelectricity.
  • Si:HfO2 for highly efficient supercapacitors is first reported.
  • Si:HfO2 shows highest figures of merit for pyroelectric energy harvesting.
  • Si:HfO2 for electrocaloric cooling and infrared sensing is first reported.

Ferroelectric phase transitions in nanoscale HfO2 films enable giant pyroelectric energy conversion and highly efficient super capacitors




Temperature- and field-induced phase transitions in ferroelectric nanoscale TiN/Si:HfO2/TiN capacitors with 3.8 to 5.6 mol% Si content are investigated for energy conversion and storage applications. Films with 5.6 mol% Si concentration exhibit an energy storage density of ~40 J/cm3 with a very high efficiency of ~80% over a wide temperature range useful for supercapacitors. Furthermore, giant pyroelectric coefficients of up to −1300 µC/(m2 K) are observed due to temperature dependent ferroelectric to paraelectric phase transitions. The broad transition region is related to the grain size distribution and adjustable by the Si content. This strong pyroelectricity yields electrothermal coupling factors k2 of up to 0.591 which are more than one order of magnitude higher than the best values ever reported. This enables pyroelectric energy harvesting with the highest harvestable energy density ever reported of 20.27 J/cm3 per Olsen cycle. Possible applications in infrared sensing are discussed. Inversely, through the electrocaloric effect an adiabatic temperature change of up to 9.5 K and the highest refrigerant capacity ever reported of 19.6 J/cm3 per cycle is achievable. This might enable energy efficient on-chip electrocaloric cooling devices. Additionally, low cost fabrication of these films is feasible by existing semiconductor process technology.

Thursday, October 22, 2015

Next week : RAFALD a three-day ALD workshop November 16–18 in Grenoble, France

Atomic layer deposition, or ALD, will be the central topic at RAFALD, a three-day workshop organized from November 16–18 by several Grenoble-based ALD experts. On the docket: materials, equipment, processes, and simulation—all for an audience of professionals from industry and academia plus students enrolled in engineering programs. 50 to 100 attendees are expected.


ALD is used extensively in microelectronics and Grenoble, home to some top-notch equipment and facilities, has become one of France’s major ALD centers. The organizers are planning to build on this workshop—the first national event of its kind in France—to launch a national network dedicated to ALD. The new network could reach beyond microelectronics, attracting professionals from the energy, textile, biology, and organic electronics sectors.

Lundi : Tutoriels - MINATEC
  • Historique et principes de base de l'ALD (F. Donsanti),
  • Précurseurs (S. Danièle)
  • Equipements (J. Kools)
  • Appplications actuelles et émergentes (C. Vallée)
Session Posters
Mardi : Sessions scientifiques - MINATEC
  • Simulations et précurseurs ALD
  • Croissance, caractérisations et applications émergentes
Mercredi : Sessions scientifiques et construction du réseau - Campus Saint Martin d'Hères. Amphi OUEST Chimie
    • Présentation du Labex CEMAM.
    • Construction du réseau RAFALD, Réseau EU COST HERALD. 


      Microorganisms in the sea organize their power supply via nanowire power cables

      Sorry guys, all that hard work only to find out that microorganisms in the sea organize their power supply via nanowire power cables.

      Phys.org reports:

      "Electrical energy from the socket - this convenient type of power supply is apparently used by some microorganisms. Cells can meet their energy needs in the form of electricity through nanowire connections. Researchers from the Max Planck Institute for Marine Microbiology in Bremen have discovered these possibly smallest power grids in the world when examining cell aggregates of methane degrading microorganisms. They consist of two completely different cell types, which can only jointly degrade methane. Scientists have discovered wire-like connections between the cells, which are relevant in energy exchanges."
      Electrical energy from the socket - this convenient type of power supply is apparently used by some microorganisms. Cells can meet their energy needs in the form of electricity through nanowire connections. Researchers from the Max Planck Institute for Marine Microbiology in Bremen have discovered these possibly smallest power grids in the world when examining cell aggregates of methane degrading microorganisms. They consist of two completely different cell types, which can only jointly degrade methane. Scientists have discovered wire-like connections between the cells, which are relevant in energy exchanges.

      Read more at: http://phys.org/news/2015-10-microorganisms-sea-power-nanowire-cables.html#jCp





      Archaea (red) yield eight electrons from the oxidation of a single methane molecule. The electrons travel via the nanowires to the sulphate reducing bacteria (green). The bacteria use those electrons to convert one molecule sulphate into hydrogen sulphide. Credit: MPI f. Marine Microbiology



      Electron micrograph of the nanowires shows connecting archaea and sulphate reducing bacteria. The wires stretch out for several micrometres, longer than a single cell. The white bar represents the length of one micrometre. The arrows indicate the nanowires (A=ANME-Archaeen, H=HotSeep-1 partner bacteria). Credit: MPI f. Biophysical Chemistry

      Read more at: http://phys.org/news/2015-10-microorganisms-sea-power-nanowire-cables.html#jCp
      More information: Gunter Wegener et al. Intercellular wiring enables electron transfer between methanotrophic archaea and bacteria, Nature (2015). DOI: 10.1038/nature15733

      Read more at: http://phys.org/news/2015-10-microorganisms-sea-power-nanowire-cables.html#jCp
      Electron micrograph of the nanowires shows connecting archaea and sulphate reducing bacteria. The wires stretch out for several micrometres, longer than a single cell. The white bar represents the length of one micrometre. The arrows indicate the nanowires (A=ANME-Archaeen, H=HotSeep-1 partner bacteria). Credit: MPI f. Biophysical Chemistry

      Read more at: http://phys.org/news/2015-10-microorganisms-sea-power-nanowire-cables.html#jCp

      Successful industrialization of high-density 3D integrated silicon capacitors for ultra-miniaturized electronic components

      Three high-tech SMEs finalize the joint EU-funded PICS project on innovative ALD materials and manufacturing equipment

       
      Caen, Oct. 22, 2015 – Two years after the launch of the PICS project (funded by the FP7 funding instrument dedicated to research for the benefit of SMEs), three European SMEs, IPDiA, Picosun and SENTECH Instruments along with CEA-Leti and Fraunhofer IPMS-CNT announce the major technological results achieved during this program. 

      Two new dielectric stacks were developed and integrated into the IPDiA 3D trench capacitors by IPDiA, CEA-Leti and Fraunhofer IPMS-CNT. The initial specifications were fulfilled and proven by electrical measurements. A new record on capacitance density (>500nF/mm² at 3.3V) and an extended operation voltage (10V with 150nF/mm²) were obtained, which expands IPDiA’s ability to meet current market requirements particularly in the field of medical or aeronautics. Qualification procedure was initiated during the project by launching preliminary reliability studies and it will continue in the coming months.
       
      Read more... (369.11 kB)
       
      Prototype of medical pills integrating temperature sensor and RF transceiver


      3D trench capacitors integrated into Silicon 
       

      Publication Overview

      Title of Publication Author(s) Link
      Picosun ALD enables a new generation of medical devices Picosun click here 
      Innovative ALD materials and tools or high densIty 3D integrated capacitors Fraunhofer IPMS click here
      Presentation: NIL industrial Days (19-20 March 2015, Berlin) Pinnow (SENTECH) click here
      Presentation: EuroNanoForum (10-15 June 2015, Riga) C. Billard (CEA) click here
      Presentation: "Development of innovative ALD materials for high density 3D integrated capacitors” ALD Symposium, SEMICON Europe 2014 (7-9 October 2015, Grenoble) M. Czernohorsky (Fraunhofer IPMS-CNT) click here
      Presentation: "Ultra-thin high density capacitors for advanced packaging solutions" 20th European Microelectronics and Packaging Conference & Exhibition (September 13-16, 2015 in Friedrichshafen, Germany) K. Seidel (Fraunhofer IPMS-CNT) click here
      Presentation: "HfO2-Al2O3 nanolaminate dielectric for ultra-high integrated MIM capacitors" RAFALD workshop (November 16-18, 2015 Grenoble, France). A. Lefevre click here 
      PICS Poster   click here 
       

      Wednesday, October 21, 2015

      Picosun's PICOPLASMA™ technology a hit

      Picosun Oy, reports continuous success for its plasma ALD technology.

      Picosun’s patented* PICOPLASMA™ source system is now available on cluster integrated, SEMI S2 certified PICOSUN™ P-seriesproduction ALD modules. The remote, inductively coupled plasma with highly reactive radicals extends the selection of ALD processes and allows damage-free coating of sensitive substrates such as plastics, polymers, or metal foils. A prime example of this is the first low temperature ALD graphene deposition in a PICOSUN™ plasma ALD tool**.


      Graphene depsoited by Xi'an Jiaotong University in a Picosun ALD reactor. A whole new method for the synthesis of graphene at low temperatures by means of remote plasma-enhanced atomic layer deposition (PEALD) 


      The PICOPLASMA™ source system is also ideal for deposition of conductive materials such as pure metals or nitrides without risk of short-circuiting or particle formation. Its optimized design enables constant breakthroughs in ALD metal processes, such as the recently reported full wafer gold deposition using Picosun’s plasma ALD technology***.


      The PICOPLASMA™ source system can be mounted on existing PICOSUN™ ALD reactors or the whole PEALD system can be installed as one compact, small footprint deposition unit capable of easy implementation, quick maintenance, and low cost-of-ownership. The system can also be fully automated by integrating it into the PICOPLATFORM™ cluster tool.


      “Plasma-assisted ALD processes, such as high quality noble metal or nitride layers, are becoming essential in the manufacturing of various microelectronic components. Especially in compound semiconductor device and MEMS manufacturing low processing temperatures, enabled by plasma ALD, are often crucial. The non-destructive, radical-based processing with our PICOPLASMA™ systems is enabling the future technology nodes for global semiconductor industries,” summarizes Juhana Kostamo, Managing Director of Picosun.


      * Patent No. US 9,095,869; multiple patents pending

      Tuesday, October 20, 2015

      UPDATE Sweden will organize next EuroCVD 21 & Baltic ALD 15 conferences in 2017 in Linköping

      On Monday it was decided - Sweden will organize next EuroCVD 21 conference in summer of 2017 and today it was confirmed that Baltic ALD 15 will co-organised in Linköping, Sweden.




      The confirmed organizing committee Headed by Henrik Pedersen (Linköping Univ.) as conference chair and supported by Mats Boman (Uppsala Univ.), Jonas Sundqvist (Lund University/TU Dresden) and Seán Barry (Carleton Univ.)


      Congratulations to Henrik Pedersen making this possible! More news will follow.

      Thursday, October 15, 2015

      Electrical and thermal conduction in ultra-thin freestanding ALD tungsten nanobridges

      The long term master of ALD and especially W/Al2O3 laminates S.M. George and his ALD team in Boulder, Colorado have now manufactured one of the most amazing bridges that I have ever seen and it has electrical and thermal conduction as well!
       
       

      Electrical and thermal conduction in ultra-thin freestanding atomic layer deposited W nanobridges 

      Nathan T. Eigenfeld, Jonas C. Gertsch, George D. Skidmore, Steven M. George, Victor M. Bright

      Nanoscale, 2015, Advance Article
      DOI: 10.1039/C5NR04885K, Paper

      Work presented here measures and interprets the electrical and thermal conductivities of atomic layer deposited (ALD) free-standing single film and periodic tungsten and aluminum oxide nanobridges with thicknesses from ∼5–20 nm and ∼3–13 nm, respectively. Electrical conductivity of the W films is reduced by up to 99% from bulk, while thermal conductivity is reduced by up to 91%. Results indicate phonon contribution to thermal conductivity is dominant in these ALD films and may be substantially reduced by the incorporation of periodicity in the ALD W/Al2O3 nanolaminates. Additionally, thin film conduction modeling demonstrates nano-structured grain features largely dictate electron and phonon conduction in ALD W. New fabrication methods have allowed for the development of free-standing ultra-thin structures with layers on the order of several nanometers utilizing ALD. While the literature contains diverse studies of the physical properties of thin films prepared by traditional micro-fabrication sputtering or chemical vapor deposition techniques, there remains little data on freestanding structures containing ALD generated materials. Specifically, knowledge of the electrical and thermal conductivity of ALD generated materials will aid in the future development of ultra-thin nano-devices.

      RASIRC Methods for Safe and Stable Delivery of Hydrogen Peroxide Gas and Hydrazine Gas for ALD

      Company presents technical sessions and exhibits at AVS Symposium


      San Diego, Calif – October 14, 2015 – RASIRC will showcase a family of new technologies at the AVS 62nd International Symposium & Exhibition, October 18-23 in San Jose, California. Hydrogen peroxide gas with and without water as well as recent data on hydrazine gas for processing next generation semiconductor materials will be covered in two oral presentations and an exhibit (booth #437). The company will present “Novel Delivery of Unstable Precursors for Atomic Layer Deposition” on Monday October 19 at 4:40PM in the More Moore! II session. The company will also present “H2O2 Gas: Revolutionary new molecule for ALD” in a Technology Spotlight session.



      “Next generation semiconductor devices have low thermal process budgets. Hydrogen peroxide gas and hydrazine are active molecules that allow for low temperature processing without the damage plasma can create,” said Jeffrey Spiegelman, RASIRC President and Founder. “Our Peroxidizer® and BRUTE™ product lines represent a breakthrough in stable delivery of what has been historically difficult chemicals to deliver in process.”

      Hydrogen Peroxide and Hydrazine Delivery


      Hydrogen peroxide in aqueous form is commonly used in semiconductor manufacturing for cleaning and surface preparation operations. However, it has limited general utility in aqueous form due to the volatility of water. In its pure state, hydrogen peroxide is highly unstable and has a propensity to decompose, forming water and oxygen. RASIRC technology uses a proprietary delivery system where 99.6% hydrogen peroxide is dissolved in non-volatile solvent.

      Next generation devices have low thermal budgets and high aspect ratio structures that create new challenges for ALD grown nitride films. Hydrazine (H2NNH2) has been proposed as a thermal ALD low temperature nitride source. Hydrazine is highly flammable and its flash point decreases with reduced water content. RASIRC developed a new method and formulation for the delivery of anhydrous Hydrazine. Precursor vapor pressure is maintained at levels viable for ALD. Moreover, the addition of a proprietary solvent lowers the risk of explosion by raising the solution flash point.

      Preliminary ALD data will be presented showing unique properties of these new precursors along with theoretical data on precursor delivery under variable ALD conditions.
      About the Peroxidizer

      The Peroxidizer is a high concentration hydrogen peroxide (H2O2) vaporizer designed specifically for the needs of next generation semiconductor processes. The Peroxidizer is the first commercial vaporizer capable of delivering concentrations greater than 5% H2O2 gas by volume from 30% H2O2 liquid source.

      About BRUTE vaporizers


      BRUTE Peroxide delivers anhydrous hydrogen peroxide (H2O2) for semiconductor fabrication processes such as atomic layer deposition (ALD) and atomic layer etch (ALE). BRUTE Peroxide is the only commercially available technology that can deliver up to 99.9% H2O2 gas by volume into a vacuum.

      RASIRC BRUTE Hydrazine delivers water-free hydrazine (N2H4) gas into atomic layer deposition (ALD) processes. BRUTE hydrazine includes a vaporizer pre-loaded with hydrazine liquid.
      AVS Symposium & Exhibition Presence

      For more information about H2O2 Gas and Hydrazine delivery systems, AVS Symposium attendees are invited to visit RASIRC in booth #437. Representatives will be available to discuss technologies and test results.

      Monday, October 12, 2015

      New R2R ALD tool has passed factory acceptance tests at VDL ETG

      According to a report on LinkedIn Flexible Electronics Group: A new R2R ALD machine operating fully at atmospheric pressure has past all factory acceptance tests this week at VDL Enabling Technologies Group (VDL ETG), The Netherlands. 



      The tool can deposit various atomic layers like aluminum oxide, zinc oxide, hydrogen sulfide, etc. without making use of a vacuum chamber. The adopted technology allows for extremely fast deposition rates that can even exceed by 50-100 times the deposition rates achieved in conventional vacuum-based R2R ALD tools. Built-in air bearings guarantee the scratch-free handling of the webs used as flexible substrates. The deposition can take place at temperatures as low as 80-100 degrees Celsius, which makes this R2R ALD tool extremely useful for the deposition of barriers, buffer layers, optical films, etc. in flexible electronic devices produced on plastic foils.

      ALD Dielectric film with a refractive index close to air

      From North Carolina State University, here is an amazing use of ALD Al2O3 and ZnO dielectric film that has optical and electrical properties similar to air, but is strong enough to be incorporated into electronic and photonic devices - making them both more efficient and more mechanically stable. Full report here and in the actual publication below as well in this supporting information file with free access : http://onlinelibrary.wiley.com/store/10.1002/adfm.201502854/asset/supinfo/adfm201502854-sup-0001-S1.pdf?v=1&s=22a54d6412037acca3bb9709f8286a3fb4877e9f

      Ordered 3D Thin-Shell Nanolattice Materials with Near-Unity Refractive Indices


      Xu A. Zhang, Abhijeet Bagal, Erinn C. Dandley, Junjie Zhao, Christopher J. Oldham, Bae-Ian Wu, Gregory N. Parsons and Chih-Hao Chang
      Advanced Functional Materials, DOI: 10.1002/adfm.201502854


      By manipulating the structure of aluminum oxide, a dielectric material, researchers were able to improve its optical and mechanical properties. The key to the film's performance is the highly-ordered spacing of the pores, which gives it a more mechanically robust structure without impairing the refractive index. You can see the structure here, on the micrometer scale.

      The refractive indices of naturally occurring materials are limited, and there exists an index gap between indices of air and available solid materials. With many photonics and electronics applications, there has been considerable effort in creating artificial materials with optical and dielectric properties similar to air while simultaneously being mechanically stable to bear load. Here, a class of ordered nanolattice materials consisting of periodic thin-shell structures with near-unity refractive index and high stiffness is demonstrated. Using a combination of 3D nanolithography and atomic layer deposition, these ordered nanostructured materials have reduced optical scattering and improved mechanical stability compared to existing randomly porous materials. Using ZnO and Al2O3 as the building materials, refractive indices from 1.3 down to 1.025 are achieved. The experimental data can be accurately described by Maxwell Garnett effective media theory, which can provide a guide for index design. The demonstrated low-index, low-scattering, and high-stiffness materials can serve as high-quality optical films in multilayer photonic structures, waveguides, resonators, and ultra-low-k dielectrics.