Showing posts with label Semiconductor equipment. Show all posts
Showing posts with label Semiconductor equipment. Show all posts

Thursday, November 23, 2023

AI-Driven Chip Demand Spurs Optimism in Semiconductor Industry; Analyst Upgrades Key Players with Bullish Price Targets

Analyst Timm Schulze-Melander (Head of Tech Hardware research, Redburn) predicts growth in the semiconductor equipment industry, driven by AI-related chip demand. He upgraded Applied Materials and ASM International to "Buy," started Lam Research with a "Buy" rating, and rated KLA as "Neutral." Despite current capacity reductions, he sees growth resuming in 2025. Positive signs include recovering PC and mobile phone markets, with smartphone shipments expected to rise. He set price targets for each company, highlighting their market strengths. This analysis led to a rise in the companies' stock prices, reflecting optimism for the sector's future, particularly in the context of AI-driven demand.

The target prices set by analyst Timm Schulze-Melander are as follows:
  • Lam Research: $800 - now $717
  • ASM International: €545 - now $459
  • KLA: $550 - now $554
  • Applied Materials: $175 - now $149






Sources: 
Google Finance

Thursday, October 26, 2023

Kokusai Electric's Stellar Tokyo IPO: A Surge in Stock, High Hopes for the Future

Kokusai Electric's stock rose 28% in its Tokyo debut after KKR sold its shares for $724 million, marking Japan's largest IPO in five years. Closing at 2,350 yen, Kokusai's valuation reached $3.61 billion. This was the biggest Tokyo listing since SoftBank Corp. in 2018. KKR reduced its stake from 73.2% to 47.7%. Analysts noted a challenging market for chip-related stocks but anticipate a rebound for Kokusai. The company specializes in machines for silicon wafer films, with major clients like Samsung. Despite a predicted profit drop, Kokusai's President sees growth potential by 2025. KKR's previous sale attempt to Applied Materials was unsuccessful. The IPO saw huge interest, with foreign investors oversubscribing by over 10 times.


Source: Kokusai Electric shares jump 28% in Tokyo debut - Nikkei Asia

Friday, September 15, 2023

Tokyo Electron Integrated Report/Annual Report 2023 available for download

Tokyo Electron (TEL) issues an integrated report for the purpose of reporting our medium- to long-term profit expansion and continuous corporate value enhancement to their stakeholders.

As they celebrate their 60th anniversary this year, the 2023 report looks back at the history of our business expansion. It also details our efforts to continuously create value by the value chain of their business activities anchored around material issues, in conjunction with their sustainability initiatives.

For anyone involved in the semiconductor industry or those eager to gain fresh perspectives in this dynamic field, this report is a must-read. It not only showcases TEL's history and strategies but also sheds light on industry trends, sustainability practices, and the exciting developments shaping the future of semiconductor technology. Dive into this comprehensive report and unlock valuable knowledge about TEL's journey and the semiconductor industry at large.


TEL also have great training material and a Nanotech Museum:





Wednesday, September 13, 2023

Global Fab Equipment Spending to Rebound in 2024 After 2023 Slowdown, Predicts SEMI Report

Global fab equipment spending is anticipated to decline by 15% in 2023, dropping to $84 billion from the record high of $99.5 billion in 2022. However, a recovery of 15% to $97 billion is expected in 2024, driven by the end of a semiconductor inventory correction in 2023 and increased demand in high-performance computing (HPC) and memory segments. The foundry segment will lead the industry's expansion in 2023 with $49 billion in investments, while memory spending is set to make a strong comeback in 2024 with a 65% increase to $27 billion. 



Taiwan will remain the top region for fab equipment spending in 2024, with $23 billion, followed by Korea with $22 billion, and China in third place at $20 billion. The Americas and Europe/Mideast regions are also expected to see increased investments, while capacity growth in the global semiconductor industry is forecasted to continue, rising by 6% in 2024.

Saturday, August 26, 2023

Global Semiconductor Industry Poised for 2024 Recovery Amidst Near-Term Challenges, SEMI Reports

In a recent report by SEMI, in collaboration with TechInsights, the global semiconductor industry shows signs of emerging from its downcycle, with a projected recovery expected in 2024. The report highlights that the third quarter of 2023 is anticipated to witness a healthy 10% quarter-on-quarter growth in electronics sales, while memory IC sales are set to achieve double-digit growth for the first time since the downturn began in 2022. Although headwinds persist in the semiconductor manufacturing sector during the latter half of 2023, a rebound is on the horizon.


Inventory drawdowns at integrated device manufacturer (IDM) and fabless companies are forecasted to keep fab utilization rates lower than those seen in the first half of 2023. Despite this, positive trends are noted in capital equipment billings and silicon shipments, stemming from government incentives and robust equipment sales backlogs.

Market indicators suggest the semiconductor industry reached its nadir by mid-2023, commencing a path to recovery, setting the stage for growth in 2024. All segments are predicted to witness year-over-year increases in 2024, with electronics sales projected to surpass their 2022 peak.

Clark Tseng, Senior Director of Market Intelligence at SEMI, pointed out that the gradual demand recovery might extend the timeline for inventory normalization until the end of 2023, leading to temporary reductions in fab utilization rates. Nevertheless, semiconductor manufacturing is expected to hit its bottom in Q1 2024.

Boris Metodiev, Director of Market Analysis at TechInsights, highlighted the resilience of equipment sales and fab construction despite the broader downturn. He attributed this trend to government incentives driving new fab projects and strong backlogs supporting equipment sales.

Original Source: SEMI https://www.semi.org/en/news-resources/press-releases/2023/08/global-semiconductor-industry-on-track-for-2024-recovery-but-near-term-headwinds-remain-semi-reports

Tuesday, August 22, 2023

Indo-Pacific Region's Pivotal Role in Global Semiconductor Industry Supply Chain

Mapping the Semiconductor Supply Chain: The Critical Role of the Indo-Pacific Region

The report underscores the Indo-Pacific region's paramount role in the complex global semiconductor supply chain. Across various stages, from design to fabrication, the report illuminates the region's indispensable contributions to the industry's functionality and the wider impact on global economics and geopolitics.

The report highlights semiconductors' critical position in the world economy, impacting sectors like electronics and infrastructure. With annual sales surpassing half a trillion dollars and a far-reaching economic impact, recent events like the semiconductor shortage, causing a $240 billion GDP reduction and halting auto production, underscore this centrality.

The analysis delves into the intricate facets of the semiconductor supply chain, spotlighting the collective influence of Taiwan, Japan, China, and South Korea. These Indo-Pacific nations specialize in semiconductor design, fabrication, and more, with the United States as a significant player, reflecting its Pacific power status and industry leadership.

The authors unravel the complexities of design, fabrication equipment, materials, and assembly. They emphasize the U.S.'s lead in design software and intellectual property. The report also uncovers the Indo-Pacific's domination of manufacturing equipment, especially by the U.S., Japan, and South Korea.

Geopolitically, the report discusses how national strategies adapt to ensure resilient supply chains and technological sovereignty. It underscores the need for collaboration, particularly in the Indo-Pacific, to build robust ecosystems that counter risks and ensure secure supply chains.

Materials and Chemicals: Cornerstones of Semiconductor Manufacturing

The semiconductor industry's core relies on vital raw and manufactured materials like silicon wafers, photomasks, and photoresists, as well as crucial chemicals in the manufacturing process. The market for these fabrication materials exceeded $40 billion in 2021, concentrated mainly in the United States, Germany, Japan, Taiwan, South Korea, and China. Taiwan claimed the largest share with 25%, while Europe and China had smaller shares. Silicon wafers, forming a significant portion of the market, are essential components for semiconductor production. Their market has evolved over two decades, with five companies now controlling 95% compared to over 20 in 1990. 


Key players in silicon wafer manufacturing include Japanese, Taiwanese, German, South Korean, and French firms. While China's presence is growing, its ability to produce 300 mm/12-inch silicon wafers remains limited. Photomasks and photoresists, integral to semiconductor fabrication, are mainly dominated by Japanese, Taiwanese, and South Korean companies. China's progress in these areas has been notable, marked by investments aimed at enhancing their capabilities. The report highlights these materials' significance within the complex semiconductor supply chain and underscores the Indo-Pacific's pivotal role in their production and distribution.

Semiconductor Manufacturing Equipment: Key Players and Indo-Pacific Dominance

Summary: The semiconductor manufacturing process relies on highly specialized equipment to create intricate integrated circuits on silicon wafers. The Indo-Pacific region dominates the semiconductor manufacturing equipment (SME) market, contributing to 77% of global SME sales. The United States and Japan lead in SME production, with South Korea also playing a significant role. The United States and Japan excel in producing wafer fabrication equipment, while Japan has a strong presence in assembly and test equipment. 

China is a major player in assembly, test, and packaging (ATP) equipment, particularly through companies like ASM Pacific. The Indo-Pacific region is home to the majority of semiconductor fabrication facilities, with China rapidly expanding its fabrication capabilities. Additionally, ATP facilities are concentrated in the Indo-Pacific region, with Taiwan, China, and Southeast Asia (including countries like Singapore, Malaysia, Vietnam, and the Philippines) being key contributors. ATP facilities encompass processes such as chip cutting, testing, and assembling, and while these processes may be less complex compared to other stages of manufacturing, they have become more intricate with the continual shrinking of transistor size and density in semiconductor chips.

In summary, the report unveils the Indo-Pacific's pivotal role in the global semiconductor industry. By dissecting the supply chain and revealing its geopolitical implications, the report serves as a vital resource for policymakers and industry stakeholders in navigating this dynamic landscape.

Tuesday, June 13, 2023

Global 300mm Fab Equipment Spending to Reach Record $119 Billion in 2026, Fueled by Strong Demand for High-Performance Computing and Automotive Applications

 Korea Leads the Way, Foundry and Memory Sectors Drive Expansion

  • Global 300mm fab equipment spending is projected to reach a record high of $119 billion in 2026, driven by strong demand for high-performance computing, automotive applications, and improved memory requirements.
  • Korea is expected to lead global 300mm fab equipment spending in 2026, followed by Taiwan, China, and the Americas, with the foundry and memory sectors playing significant roles in the expansion.
The global 300mm fab equipment spending is expected to soar to an unprecedented level of $119 billion by 2026, after experiencing a temporary decline in 2023. This remarkable growth is primarily attributed to the surging demand for high-performance computing and automotive applications, coupled with an overall improvement in the demand for memory.



The foundry and memory sectors are poised to be major catalysts in this expansion, signaling a widespread need for semiconductor chips across various end markets and applications. These sectors will drive significant investment in 300mm fab equipment, ensuring the industry's capacity to meet the escalating demand.

In terms of regional spending, Korea is anticipated to lead the global 300mm fab equipment market in 2026. With its well-established semiconductor industry and technological prowess, Korea is well-positioned to capitalize on the increasing demand for chips. Following closely behind Korea, Taiwan, China, and the Americas are expected to contribute substantially to the global spending.

Among the different segments, the foundry sector is projected to witness the highest equipment spending. As the demand for custom-designed chips continues to surge, foundries will invest heavily in advanced manufacturing equipment to meet the diverse needs of their customers. The memory sector is also set to experience robust equipment spending, driven by the growing demand for high-capacity memory solutions in various applications, including data centers, artificial intelligence, and Internet of Things (IoT) devices.

While the foundry and memory sectors thrive, other segments may face modest growth or even decline. This suggests a shifting landscape within the semiconductor industry, with specific sectors driving the overall growth while others adapt to changing market dynamics.

In conclusion, the global 300mm fab equipment spending is poised to reach unprecedented heights in 2026, driven by the strong demand for high-performance computing, automotive applications, and improved memory requirements. Korea is expected to lead the global spending, with the foundry and memory sectors playing pivotal roles in the expansion. This remarkable growth underscores the critical importance of semiconductor chips across a wide range of industries and highlights the need for substantial investment in advanced manufacturing capabilities to meet future demands.

Thursday, June 8, 2023

Global semiconductor equipment billings rise 9% YoY to $26.8B in Q1 2023. Taiwan up 42%, China down 8%, North America soars 51%. Strong AI and automotive investments.

US Fab expansion kick in - The global semiconductor equipment billings rose 9% YoY to $26.8B in Q1 2023, despite challenging conditions. Taiwan saw 42% growth, while China dropped 8%. North America surged by 51%. Long-term investments for AI and automotive remain strong.


As an excerpt from a news release by SEMI - here are some key points from the report:

Global Semiconductor Equipment Billings - In the first quarter of 2023, global semiconductor equipment billings reached US$26.8 billion, representing a 9% increase compared to the same period in the previous year. However, billings slipped 3% when compared to the previous quarter.

Regional Billings

The data provided breaks down the billings by region and shows the quarter-over-quarter and year-over-year changes. Here are some notable regional highlights:Taiwan: Billings of $6.93 billion, with a 13% decrease compared to the previous quarter but a significant 42% increase year-over-year.

  • China: Billings of $5.86 billion, reflecting an 8% decrease quarter-over-quarter and a 23% decrease year-over-year.
  • Korea: Billings of $5.62 billion, showing a slight 3% decrease quarter-over-quarter but a positive 9% increase year-over-year.
  • North America: Billings of $3.93 billion, indicating a substantial 51% increase quarter-over-quarter and a significant 50% increase year-over-year.
  • Japan: Billings of $1.90 billion, with a 16% decrease quarter-over-quarter but no change year-over-year.
  • Europe: Billings of $1.52 billion, reflecting a 4% increase quarter-over-quarter and a 19% increase year-over-year.
  • Rest of World: Billings of $1.06 billion, experiencing a 20% decrease quarter-over-quarter and an 18% decrease year-over-year.
Market Outlook: Despite macroeconomic challenges and a difficult industry environment, semiconductor equipment revenue in the first quarter was robust. The long-term strategic investments needed to support major technology advancements for applications like AI (Artificial Intelligence) and automotive remain healthy.

SEMI Equipment Market Data Subscription (EMDS): SEMI offers a subscription service providing comprehensive market data for the global semiconductor equipment market. It includes monthly reports on equipment market trends, semiconductor equipment billings by region and market segments, and an outlook for the semiconductor equipment market.

https://semi.org/en/news-media-press-releases/semi-press-releases/q1-2023-global-semiconductor-equipment-billings-grow-9%25-year-over-year-semi-reports

Thursday, September 29, 2022

Global fab equipment spending is expected to increase 9% YOY to a new all-time high of US$99 billion in 2022

MILPITAS, Calif. — September 27, 2022 — Global fab equipment spending for front-end facilities is expected to increase approximately 9% year-over-year (YOY) to a new all-time high of US$99 billion in 2022, SEMI announced today in its latest quarterly World Fab Forecast report. The report also shows the global fab equipment industry increasing capacity this year and again in 2023.

“After achieving a record level in 2022, the global fab equipment market is projected to remain healthy next year driven by new fabs and upgrade activity,” said Ajit Manocha, SEMI President and CEO.




Sunday, September 4, 2022

Tokyo Electron is forcastiong high continued sales in semiconductor equipment sales 2023 - slow down in DRAM

Tokyo electron forecast for FY2023 SPE Division New Equipment Sales Forecast - DRAM is retracting in 2023 but you can´t say that about Logic and Non-Volatile Memory (3DNAND Flash). Full power ahead for ALD and its friends!




One good freind of ALD is Etch. Tokyo Electron is spending quite some development Yen Billions by building a new facility just for etch development.




Friday, July 15, 2022

Global Total Semiconductor Equipment Sales On Track to Record $118 Billion in 2022

SAN FRANCISCO, July 12, 2022 /PRNewswire/ -- Global sales of total semiconductor manufacturing equipment by original equipment manufacturers are forecast to reach a record $117.5 billion in 2022, rising 14.7% from the previous industry high of $102.5 billion in 2021, and increase to $120.8 billion in 2023, SEMI announced today in releasing its Mid-Year Total Semiconductor Equipment Forecast – OEM Perspective at SEMICON West 2022 Hybrid.

The following results reflect market size by segment and application in billions of U.S. dollars:




Both the front-end and back-end semiconductor equipment segments are contributing to the market expansion. The wafer fab equipment segment, which includes wafer processing, fab facilities, and mask/reticle equipment, is projected to expand 15.4% to a new industry record of $101 billion in 2022, followed by a 3.2% increase to $104.3 billion in 2023.

"In line with the semiconductor industry's determined push to increase and upgrade capacity, the wafer fab equipment segment is poised to reach the $100 billion milestone for the first time in 2022," said Ajit Manocha, president and CEO of SEMI. "Secular trends across a diverse range of markets, coupled with strong investments in digital infrastructure, are powering another record year."

Driven by demand for both leading-edge and mature process nodes, the foundry and logic segments are expected to increase 20.6% year-over-year to $55.2 billion in 2022 and another 7.9%, to $59.5 billion, in 2023. The two segments account for more than half of total wafer fab equipment sales.

Strong demand for memory and storage continues to contribute to DRAM and NAND equipment spending this year. The DRAM equipment segment is leading the expansion in 2022 with expected growth of 8% to $17.1 billion. The NAND equipment market is projected to grow 6.8% to $21.1 billion this year. DRAM and NAND equipment expenditures are expected to slip 7.7% and 2.4%, respectively, in 2023.

After surging 86.5% in 2021, the assembly and packaging equipment segment is expected to grow 8.2% to $7.8 billion in 2022 and edge down 0.5% to $7.7 billion in 2023. The semiconductor test equipment market is forecast to grow 12.1% to $8.8 billion in 2022 and another 0.4% in 2023 on demand for high-performance computing (HPC) applications.

Regionally, Taiwan, China, and Korea are projected to remain the top three equipment buyers in 2022. Taiwan is expected to regain the top position in 2022 and 2023, followed by China and Korea. Equipment spending for other regions tracked, except for Rest of World (ROW), is expected to grow in 2022 and 2023.

Source: SEMI July 2022, Equipment Market Data Subscription


Friday, April 8, 2022

Sneak Peak Into Our New Equipment Components Session at CMC2022 in Chandler AZ April 27-29

Less than 4 Weeks to go for our CMC Conference, April 27-29, Chandler, AZ! Register Now Before It's Too Late!




Here's a Sneak Peak Into Our New Equipment Components Session

-George Alajajian, Ph.D.,VP Strategic Parts Supply Chain, Intel Corp. presenting on "Consumable Equipment Components Requirements for Leading Edge IDMs"

-Dalia Vernikovsky, CEO & GM, Applied Seals NA, Inc presenting on "Polymeric Sealing Properties, Advances & Limitations"

-Jennifer Braggin, Director, CTO’s Office, Entegris presenting on "New filtration and purification technologies for 3nm and beyond for better contamination control and yield improvement"

-Sami Sneck, Vice President, Advanced ALD, Beneq presenting on “Enhancing yield with ALD coatings for critical chamber components”

Coffee / Networking Break - Sponsor: Coexcell with Raffle Giveaway

-Nicole Rutherford, Product Manager, Greene Tweed presenting on “Large-Area Batch ALD Coatings for Chamber Component Protection”

-Christoph Hemmann, EVP Head of Airfreight Americas Region, DB Schenker presenting on "The Air Cargo Supply Chain of the Future- Challenges and Opportunities"

-Michael Bristol, Sr. Product Manager, CoorsTek presenting on “Trends in High Temp Furnace Components for Power Device Processing”

-Tim Dyer, President, Elcon Precision LLC presenting on "Semiconductor ESC and Ceramic Parts Industry Needs and Technical Challenges"

Click here to register for this information packed critical materials event: https://lnkd.in/gkShiM6s

Want to see the full agenda, click here: https://lnkd.in/gzDna7sy

Tuesday, December 7, 2021

Beneq unveils two new ALD products for 300mm and compound semiconductor device fabrication respectively

Beneq revolutionized ALD cluster tools for More-than-Moore device makers with the highly successful Beneq Transform® family of products. Today, Beneq broadens its product portfolio further with two new distinct solutions: the Transform® 300 and ProdigyTM.

The Beneq Transform 300 and Prodigy were each created in response to specific technology requirements in the semiconductor manufacturing sector.

“The Transform 300 is designed to meet the growing demand of emerging semiconductor applications at 300 mm for devices such as CMOS image sensors, Power Devices, Micro-OLED/LED, and Advanced Packaging, which call for a high degree of versatility,” explains Patrick Rabinzohn, Vice President, Semiconductor ALD at Beneq.

“We created Prodigy to address those market segments that need a simple solution supported by high-end technology. It inherits the ALD design and processing knowhow we at Beneq have developed over the last 15 years, packing advanced features in a simpler, targeted industrial form factor,” continues Rabinzohn.
Beneq Transform 300 is the only 300 mm ALD cluster tool that combines thermal ALD (batch) and plasma ALD (single wafer) technologies to provide a highly versatile platform for IDMs and foundries. It is dedicated to advanced thin-film applications in CIS, Power, Micro-OLED/LED, Advanced Packaging and other MtM applications.

Beneq Tranform 300 is a highly configurable platform that caters to multiple advanced thin-film applications ranging from gate dielectric including in high aspect ratio trenches, to anti-reflection coating, final passivation or encapsulation, Chip-Scale-Packaging and beyond.

Beneq Prodigy is the deal manufacturing solution for compound semiconductor including RF IC’s (GaAs/GaN/InP), LED, VCSEL, Light Detectors and for MEMS manufacturers and foundries looking to enhance device performance and reliability through an affordable stand-alone ALD batch tool. Beneq Prodigy provides best-of-breed passivation and encapsulation films across multiple wafer types and sizes.

To learn more, visit:


Saturday, November 28, 2020

Applied Materials will regain its No. 1 ranking in the semiconductor equipment market in 2020 from ASML

According to recent published data by The Information Network (Seeking Alpha LINK), Applied Materials will regain its top ranking in the semiconductor equipment market in 2020 from ASML. Fab equipment spend in 2020 was enhanced from pull-ins of sales into China and Taiwan, with 3Q QoQ increases of 22.5% and 36.2%, respectively.

As is well known ASML and Applied Materials does not compete in their  business segments, Lithography (ASML) resp. Deposition & Etch (Applied Materials). Applied Materials has a number 1 spot in PVD, CVD, Epi, CMP and Implant/Doping. However, business segments where Applied Materials so far has not been successful to reach a top 3 position in the past years include:
  • Atomic Layer Deposition
  • MOCVD
  • Furnace 
  • Dielectric Etch  
  • Spray Processing
  • Dielectric Etch (including ALE)
  • Wet Stations
As is known, Applied Materials have several times made very serious attempts to enter the ALD segment, but failed several times to compete with ASMI, Tokyo Electron and the South Korean OEMs (Jusung Engineering, Wonik IPS and Eugene Technology. In 2019 Applied Materials announced that it will acquire Japanese Kokusai (LINK) but the final agreement is yet not settled. If successful Applied will have an opportunity to kill 2 birds with one stone:

1. Move in to top 3 spot in ALD
2. Take number 2 spot in Furnace business


Table based on information and own assumptions in the article (Seeking Alpha LINK)

Saturday, March 16, 2019

VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018 shown big wins for Japanese OEMs

VLSI Research report well above average growth for ASML (NL), Tokyo Electron (JPN), Advantest (JPN), Kokusai (JPN), Daifuku (JPN) and Canon (JPN) so a big win for Japan and the Netherlands last year. All Japanese companies outperform the market growth 2018!

Dan Hutchenson: "VLSIresearch released its list of the top Semiconductor Equipment Suppliers for 2018. Notable shifts were TEL passing Lam to take the top spot. Advantest past Screen for 7th with the highest growth of any chip equipment manufacturer. While ASM Pacific passed SEMES. For details, see: https://lnkd.in/gDxccnX

Most growth is seen in Litho as for each Immersion or EUV tool that is installed a bunch of Tokyo Electron tools come as well like e.g. the TEL Track platform.

With respect to ALD, judging by ASMI, TEL and Kokusai it seems that ALD was able to capture all of the growth in 2018 and maybe a bit more. In April the Japanese companies start their 2018 annual reporting so then we will know more for now we have the ASMI report to study (LINK).



Saturday, January 6, 2018

Lam Research and Tokyo Electron took market shares in 2017

Currently the fabs are running hot and expanding and 2018 is expected to continue to grow according to OEMs and market research companies like o VLSI Research (CEO Dan Hutcheson, see below). Solid State Technology reports, based on recent market research by The Information Network (LINK) that Market leader Applied Materials lost market shares in 2017 to the main competitor Tokyo Electron and Lam Research.

"Applied Materials 1.3 share points, dropping from 28.2% in 2016 to 26.9% YTD (year to date). Gaining share are Tokyo Electron Ltd. (TEL), which gained 2.4 share points while rising from 17.0% in 2016 to 19.4% in 2017 YTD. Lam Research gained 1.6 share points and growing from a 19.0% share in 2016 to a 20.6% share in 2017 YTD."


The three companies compete in the following areas with huge growth due to the memory boom in 2017 (3DNAND and DRAM):

  • conductor and dielectric etch equipment
  • deposition equipment - single/multiwafer ALD and CVD
CVD equipment share is roughly 3X that of ALD and ALD passed PVD in 2015 (according to VLSI Research). Furnace ALD and CVD is dominated by Tokyo Electron and Kokusai, however it is a smaller segment as compared to single and multi wafer ALD and CVD. ASMI, the leader in ALD single wafer equipment does not seem to have been able to grow with memory, down from 2.0% to 1.7%.

Please find the full article here: LINK

 


Wednesday, January 3, 2018

Get back to work - SEMI projects continued boom in fab equipment spending for 2018

MILPITAS, Calif. ─ January 2, 2018 ─ The year-end update to the SEMI World Fab Forecast report reveals 2017 spending on fab equipment investments will reach an all-time high of $57 billion. High chip demand, strong pricing for memory, and fierce competition are driving the high-level of fab investments, with many companies investing at previously unseen levels for new fab construction and fab equipment. See figure 1.
World Fab Forecast Figure 1
Figure 1


The SEMI World Fab Forecast data shows fab equipment spending in 2017 totaling US$57 billion, an increase of 41 percent year-over-year (YoY). In 2018, spending is expected to increase 11 percent to US$63 billion.

While many companies, including Intel, Micron, Toshiba (and Western Digital), and GLOBALFOUNDRIES increased fab investments for 2017 and 2018, the strong increase reflects spending by just two companies and primarily one region.

Thursday, August 11, 2016

Tokyo Electron to Begin Accepting Orders for Triase+™ EX-II™ TiON

Simultaneously as Lam Research launches Fluorine free Tungsten for 3DNAND and DRAM, Tokyo Electron is launching their newset version of the market leading TiN Trias Tool that has been dominated the TiN MIM Capacitor electrode (e.g. DRAM memory cells) market since there was a market for it. The first version that was rolled out to most DRAM fabs at the introduction of high-k used a processes called SFD - Sequential Flow Deposition, which is a sort of pulsed CVD with  proprietary reductive gas flow pulses by NH3. Later a even more advanced processes called ASFD -  Advanced Sequential Flow Deposition has been developed. The key to these type of TiCl4/NH3 based processes is that it will always beat ALD in terms of throughput without compromising in film quality including great stepcoverage, i.e., conformal growth. Yet another reason why Tokyo Electron has been dominating the TiN market is the use of in-situ clean by ClF3. 
 




 
Tokyo Electron to Begin Accepting Orders for Triase+™ EX-II™ TiON, a Single-Wafer Metallization System

Aug 8, 2016 Tokyo Electron Limited (TEL) announced today that it would begin accepting orders for the Triase+TM EX-IITM TiON (titanium oxynitride) single-wafer metallization system in August 2016.

The Triase+ EX-II TiON is a high-speed, single-wafer ASFD  [1] system capable of oxidizing TiN (titanium nitride) films. This new system inherits the optimized reactor chamber and unique gas injection mechanism that characterize the Triase+ EX-II TiN system. Because the TiON film deposited by the Triase+ EX-II TiON has a higher work function [2] than that of a conventional TiN film, it effectively reduces leakage current when used to form the electrodes of an MIM capacitor [3]. Customers already using the TiN system can upgrade to the TiON system by modifying their existing systems, thereby reducing investment costs.

"The Triase+ EX-II TiON is a product with significant cost and performance benefits that can meet the continual demand for miniaturization in semiconductor manufacturing processes," said Shingo Tada, Vice President and General Manager of Thin Film Formation BU at TEL. "We intend to keep expanding the type of films the Triase+ EX-II series can handle, enabling it to cover an even greater variety of metallization applications in the future."

Leveraging its ability to develop innovative technologies, TEL will continue to deliver products that add high value and optimize solutions to the technological problems associated with advanced devices.


[1] ASFD: Advanced Sequential Flow Deposition. A low-temperature processing method for forming nanoscale metal films with highly-engineered properties.
[2] Work function: The minimum quantity of energy required to remove an electron from the surface of a solid.
[3] MIM capacitor: Metal-Insulator-Metal capacitor. It consists of an insulator layer between two metal layers.

Wednesday, August 10, 2016

[UPDTAE] Lam Research launch New ALTUS(R) Max E Series for Low-fluorine, Low-stress, and Low-resistivity ALD Tungsten

[UPDATE] :  Lam Blog - Innovative Tungsten ALD Process Provides Pathway to New Memory Chip Production : http://blog.lamresearch.com/innovative-tungsten-ald-process-provides-pathway-to-new-memory-chip-production/


 ALTUS Max E Series 4 station chambers (Picture from Lam Blog)

FREMONT, CA -- (Marketwired) -- 08/09/16 -- Lam Research Corp. (NASDAQ: LRCX), an advanced manufacturer of semiconductor equipment, today introduced an atomic layer deposition (ALD) process for depositing low-fluorine-content tungsten films, the latest addition to its industry-leading ALTUS® family of products. With the industry's first low-fluorine tungsten (LFW) ALD process, the ALTUS Max E Series addresses memory chipmakers' key challenges and enables the continued scaling of 3D NAND and DRAM devices. Building on Lam's market-leading product portfolio for memory applications, the new system is gaining market traction worldwide, winning production positions at leading 3D NAND and DRAM manufacturers and placement at multiple R&D sites.

ALTUS Max E Series 4 station chambers shuffling wafers (Picture from Lamresearch.com)

"Consumer demand for ever more powerful devices is driving the need for high-capacity, high-performance storage, and deposition and etch are key process technology enablers of advanced memory chips," said Tim Archer, Lam's chief operating officer. "With the addition of the ALTUS Max E Series, we are expanding our memory portfolio and enabling our customers to capitalize on this next wave of industry drivers. Over the past twelve months, as the 3D NAND inflection has accelerated, we have doubled our shipments for these applications, leading to the largest deposition and etch installed base in our 3D NAND served markets."

As manufacturers increase the number of memory cell layers for 3D NAND, two issues have become apparent for tungsten deposition in the word line fill application. First, fluorine diffusion from the tungsten film into the dielectrics can cause physical defects. Second, higher cumulative stress in devices with more than 48 pairs has resulted in excessive bowing. The resulting defects and stress can cause yield loss, as well as degraded electrical performance and device reliability. Because of these issues, tungsten films for advanced 3D NAND devices must have significantly reduced fluorine and intrinsic stress. Further, as critical dimensions shrink, resistance scaling becomes more challenging for the DRAM buried word line, as well as for metal gate/metal contact applications in logic devices.

"As memory chip manufacturers move to smaller nodes, the features that need to be filled are increasingly narrow and have higher aspect ratios," said Sesha Varadarajan, group vice president, Deposition Product Group. "Lam's new LFW ALD solution uses a controlled surface reaction to tune stress and fluorine levels and to lower resistance, all while delivering the required tungsten fill performance and productivity. When compared to chemical vapor deposition tungsten, the ALTUS Max E Series lowers fluorine content by up to 100x, lowers stress by up to 10x, and reduces resistivity by over 30%, solving some of our customers' most critical scaling and integration challenges."

The ALTUS Max E Series with LFW ALD technology offers a unique all-ALD deposition process that leverages Lam's PNL® (Pulsed Nucleation Layer) technology, which is the industry benchmark for tungsten ALD with 15 years of market leadership and more than 1,000 modules in production. Lam led the transition of chemical vapor deposition (CVD) tungsten nucleation to ALD tungsten nucleation with its PNL technology. The company continued that leadership by advancing low-resistivity tungsten solutions with its products ALTUS® Max with PNLxT™, ALTUS® Max with LRWxT™, and ALTUS® Max ExtremeFill™ for enhanced fill performance.

The ALTUS products use Lam's quad-station module (QSM) architecture to allow per-station optimization of tungsten nucleation and fill for fluorine, stress, and resistance without compromising fill performance since station temperature can be set independently. The QSM configuration also maximizes productivity of the all-ALD process by providing up to 12 pedestals per system, enabling the highest footprint productivity in the industry.

Wednesday, June 22, 2016

Hydrogen Peroxide Gas Delivery for ALD, Annealing, and Surface Cleaning in Semiconductor Processing

In order for IDMs and Foundries to follow Moore’s Law, semiconductor engineers have been forced to continuously shrink semiconductor device dimensions, so that some barrier layers are as thin as 3 atoms. Semiconductor processes affected by shrinkage include atomic layer deposition (ALD), annealing, wafer cleaning, thermal oxidation, thin film growth, etching, and interface layer passivation. Present materials used in semiconductors can breakdown at this atomic scale and must be replaced by new materials to meet low power consumption, high performance and low cost targets. These new replacement materials come with their own set of process challenges.

Atomic Layer Depostion


ALD has been used in high-volume semiconductor manufacturing since 2004 [1] and according to Chuck del Prado, CEO of ASMi, one of the world-leading companies in the field [2]:

“ALD is now firmly established as a key enabling technology. Today, ALD has become a critical technology for the manufacture of virtually all leading-edge semiconductor devices. The leading customers in our industry have already ramped several device generations based on our ALD equipment – for high-k metal gate applications in logic and foundry and for multiple patterning applications in the memory sector.”
 

The 3D challenge in high aspect ratio structures


The new atomically ultrathin films are more sensitive to environmental conditions than thicker structures from past design nodes. Precise cleaning and preparation is required to prevent atoms from straying into other layers. Complicating the process is that these layers are no longer planar, but are three dimensional shapes with very high aspect ratios approaching 150:1 for DRAM memory cell capacitors and 3DNAND flash memory charge trap devices, creating inverted skyscrapers on an atomic layer.


Samsung presented a low cost manufacturing of 20 nm DRAM and beyond at IEDM2015 using honeycomb structure narrow gap air-spacer technology (left). For visualisation, here (right) the advanced High Aspect Ratio etch and ALD that is required for 3DNAND flash memory manufacturing in a reverse engineering cross section by Chipworks from a SAMSUNG V-NAND Flash array.
Processing at the bottom of these extremely deep structures is nearly impossible. There are two main challenges:
  1. Chemicals must be stable enough to reach the bottom, but reactive enough to be effective when they contact the bottom target site.
  2. Low temperatures are needed to prevent migration of atoms in and out of the layers, so the chemicals must be active at low temperatures.
Chemicals used today for thin film oxidation do not meet these manufacturing challenges. This has forced R&D engineers to look for alternatives. The range of oxidants in use today include water, ozone and O2 plasma. Yet, in one way or another, all of these oxidants are deficient for fabrication of these new device structures under atomic level constraints. To address these challenges, RASIRC has developed a new technology that enables the common liquid oxidant, hydrogen peroxide, to be converted into a controlled and repeatable oxidant gas. This new product is called the Peroxidizer®. 
 

Hydrogen Peroxide Gas (HPG)

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box—converting common liquid chemistries into safe and reliable process gas on demand.. First to generate ultra-high purity (UHP) steam from de-ionized water, RASIRC technology can now also deliver hydrogen peroxide gas in controlled, repeatable concentrations.

Hydrogen Peroxide Gas (HPG) is a powerful and versatile oxidant for processing new materials and 3D structures. HPG is now available in stable, high concentration and offers significant benefits to ALD, annealing and cleaning applications. The Peroxidizer is an order of magintude improvement over its predecessor and overcomes the limits of pre‐humidification and high concentration H2O2 liquid supply by concentrating liquid inside the vaporizer. It handles gas flows of 5 to 30 slm in vacuum or atmospheric conditions. It delivers H2O2 concentrations from 12,500 to 50,000 ppm, which equates to 1.25 to 5% gas by volume. The Peroxidizer delivers a 4:1 water to Peroxide ratio. This is not possible with other high temperature vaporization methods due to H2O2 decomposition.

The membrane used in the vaporizer preferentially vaporizes H2O2 relative to water. This allows the concentration to stay below 75% and 90°C in the vaporizer while being able to generate 50,000 ppm. The fab only needs to supply 30% w/w, which is already in use throughout most facilities.


The above frames illustrate the Peroxidizer concentration process. At top, vaporizer is filled with 30% w/w H2O2. As move to the bottom, carrier gas passes through vaporizer solution and water vaporizes preferentially. Last frame shows that solution has reached mass balance and stable, high concentration H2O2 can be sent to process.

Hydrogen peroxide is a hazardous chemical and must be handled properly to prevent exposure of operators to unsafe chemical conditions. With proper design, installation, and operator training, hydrogen peroxide can be a viable alternative to other oxidants. The Peroxidizer includes a range of safety features focused on temperature, concentration, pressure, liquid and gas leak detection, venting and liquid handling. 

H2O2 is auto‐refill capable. If a continuous supply of 30% H2O2 liquid is available, the Peroxidizer can run 24/7. For R&D, the Peroxidizer can be manually refilled with an internal source container to run 4 to 24 hours depending on flow rate.
  • Primary interlock loop will shutoff power when any of a number of safety conditions occur.
  • Temperature safeties include redundant thermal interlocks with thermal switches for heaters.
  • H2O2 liquid and headspace temperatures are interlocked into the safety control loop.
  • Concentration safety features include level sensors for overfill and low liquid conditions. If liquid level is too low, an alarm is displayed and carrier gas turned off to prevent further liquid concentration.
  • Pressure safety features include direct pressure monitoring, pressure relief, and direct vent lines to channel high pressure vapor directly to scrubbed exhaust in case of overpressure conditions.
  • Leak safety features include a flood sensor to detect liquid leaks.
  • The system is ducted for exhaust ventilation to prevent HPG exposure in case of H2O2 liquid or gas leak. A ventilation pressure switch will trigger the interlock loop if ventilation is not adequate. A ppm HPG monitor is recommended in the exhaust ducting.
  • The drain line has a float switch to monitor for drain back up.
  • An optional condenser is available to condense HPG and water vapor before it goes to vent. Alternatively, scrubbers can be used to convert HPG directly to oxygen and water. 
To learn much more about the operating principles and process demonstration results from the HPG technology you can download a paper here: „Hydrogen Peroxide Gas Delivery for Atomic Layer Deposition, Annealing, and Surface Cleaning in Semiconductor Processing“, By Jeffrey Spiegelman, Russ Holmes and Zohreh Shamsi [Link] 
Dan Alvarez, CTO of RASIRC, will be presenting a poster entitled „Hydrogen peroxide gas for improved nucleation and initiation in ALD“ at The 16th International Conference on Atomic Layer Deposition (ALD 2016). He will also be presenting a paper entitled „Novel anhydrous hydrazine delivery for low temperature silicon nitride passivation of SiGe(110)“. RASIRC will also have an exhibit at stand 48. This will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films. The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland. This is an excellent opportunity to meet Dan Alvarez and RASIRC founder and President Jeff Spiegelman to learn more about ther exciting HPG technology. 
Dan Alvarez CTO (left) and RASIRC founder and President Jeff Spiegelman (right).  

Refernces
[1] “2004 -The Year of 90-nm: A Review of 90 nm Devices”, Dick James, Chipworks Inc. Advanced Semiconductor Manufacturing Conference and Workshop, 2005 IEEE/SEMI, Munich, Germany.]
[2] ASMi Annual Reporting (2015)  

·