Thursday, April 21, 2016

Lam Research quarterly conference call - reporting on ALE & ALD

Here are the interesting parts on Atomic Layer Etching (ALE) & Atomic Layer Deposition (ALD) from the Lam Research quarterly conference call. The call was with Martin Brian Anstice, President and Chief Executive Officer and Doug Bettinger, Executive Vice President and Chief Financial Officer. Lam see that they will grow over the next several years by partnering closely with customers to enable key technology inflections such as 3D device architecture and multi-patterning process flows. These are two areas which can only be realized by Atomic Layer Processing like ALD & ALE. After spending many years with hybrid ALD/CVD processes (as Novelus) Lam is now obviously heading strong in the direction of pure ALD and also one of the main driving companies for ALE.  



This is a recent previous blog on Lam Research and ALD "Lam Research gets into the booming ALD business and doubles their install base". Below are selected parts that I found interesting of what Martin Brian Anstice had to say with respect to Etch, ALE and ALD for 3DNAND and multi-patterning:  

"First generation 3D NAND production involves etching through 30 or more stacked pairs of films, for these are growing to 60 or more pairs for next-generation devices, resulting in increased challenges for critical high aspect ratio dielectric etch and also staircase conductor etch. Our Flex F and G Series dielectric products featuring proprietary ion energy control and high selectivity have tripled their installed base in the last year and established Lam as the market leader in dielectric etch segments."

"Our Kiyo conductor etch platform with industry-leading etch selectivity has enabled more than a two times improvements in the number of layers that can be etched in situ for staircase applications with the results that a majority of 3D NAND customers have now included LAM in their staircase etch HVM purchases."

Martin Anstice is president and chief executive officer of Lam Research. (www.lamresearch.com)

"Our VECTOR ALD platform offers differentiated processing capability, allowing expansion of our SAM [SAM = served available market] for multi-patterning to now include 3D NAND gapfill applications also.Turning to FinFET and multi-patterning, during the quarter, we continued to build on the momentum of ourdifferentiated Kiyo with Hydra conductor etch and Flex dielectric etch platforms for critical front-end-of-line FinFET transistor solutions for 10-nanometer and 7-nanometer technology nodes."

"As we communicated at the recent SPIE Lithography Conference, atomic level processing to control variability is increasingly critical for multiple patterning and that need will persist in an EUV-enabled environments. Our customers at the conference continues to affirm their strategy of leveraging improvements in both EUV and multi-patterning to address their needs and together with our peer group. We have conviction, the deposition and etch multi-patterning applications will grow for many years to come."

Later in the Q&A section Martin Brian Anstice got some interesting questions with respect to ALE & ALD. Here he explained the with respect to ALE "...as the technology roadmap of the customers gets more challenging, the bigger the proportion of etch-related differentiation will be occupied by atomic level control", i.e., ALE. On the topic of ALD he was much more reluctant to answer specific questions due to the tough competition in ALD, but he stated  that "the atomic level deposition product roadmap for us has an opportunity to be disruptive more holistically and creates growth potentials for Lam Research above and beyond what might be available for a generic deposition kind of baseline." Here you can speculate that he is referring to ALD for High-k/Metal Gate stackst or  maybe High-k based Memory stacks, which are technologies Lam has not entered in the past. He ended by saying "So, we are behaving with stealth for reasons that are really important to us in terms of competitive advantage." So it will most probably come some interesting ALD technology from Lam in the future - obviously one wonder if it will be a Spatial ALD Technology like Applied Materials and Veeco.

No comments:

Post a Comment