Sunday, July 28, 2019

Strem offer hafnium metal alkylamide ALD precursors for emerging memory applications


Having been extensively studied over three decades for the replacement of silicon dioxide (SiO2) in conventional semiconductor fabrication, ALD Hafnium Oxide (HfO2) among other high-k dielectrics was finally adopted by Samsung in 2004 in high volume manufacturing at the 90 nm DRAM node as the high-k capacitor dielectric. The other DRAM companies followed, and later in 2007 Intel introduced ALD HfO2 at the 45 nm node as high-k gate dielectric. On account of its high dielectric constants (at least four times that of silicon dioxide) a thicker film of hafnium oxide can be used to achieve the same dielectric performance resulting from a thinner silicon dioxide layer without the associated high leakage current or even electron tunneling for ultra-thin dielectrics. High refractory oxides such as those of zirconium and hafnium also find uses in optical applications; as catalysts; and, because of their hardness and thermal stability, as protective coatings.


In recent years, hafnium oxide (as well as doped and oxygen-deficient hafnium oxide) has attracted additional interest as a possible candidate for resistive-switching memories (ReRAM) and CMOS-compatible ferroelectric field effect transistors and memory chips. A great example is Dresden based NaMLab and The Ferroelectric Memory Company (FMC) who are integrating HfO2 based ferroelectric transistor (FeFET) memory. The FeFET is a long-term contender for an ultra-fast, low-power, and non-volatile memory technology. In these devices, the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times and much lower power consumption at high data rates.
Moreover, in the framework of a project together with GLOBALFOUNDRIES and Fraunhofer IPMS, a one-transistor (1T) FeFET eNVM was successfully implemented in a 28 nm gate-first super low power (28SLP) CMOS technology platform using only two additional structural masks. Since then, other companies and researchers have followed and the “ferroelectric HfO2 community” have met on an annual basis in Dresden at the NaMLab annual Novel high-k workshop for more than a decade now. The most recent trend is that HfO2 may enter a 2nd time in DRAM manufacturing, this time as a high-k gate oxide for the select transistor.
When grown using chemical vapor deposition (CVD) from β-diketonate precursors, alkoxide precursors, and chloride precursors, a relatively high (typically greater than 300 °C) deposition temperature is required, which results in rough films because of their high crystallinities. ALD based HfO2 films employing both chloride and iodide precursors arefeasible as low as 180 °C, but then typically exhibit high incorporation of chlorine and iodine impurities. As for the CVD processes mentioned above, the high temperatures needed to prevent this contamination result in the formation of highly crystalline films with apparent surface roughness. Etching of the growing oxide surface by the precursors themselves also causes additional surface roughening and non-conformality. The etching might also explain the difficulty in depositing a conformal coating on high-aspect-ratio structures using these halide precursors. 

To address these concerns, Professor Roy G. Gordon and members of his laboratory at Harvard, in the late 1990s and early 2000s, invented metal alkylamide precursors to create thin films of high-k dielectric materials (e.g., HfO2, ZrO2). They also filed patents for their innovation at the US Patent Office in 2000.

The metal alkylamide precursors (e.g., TEMAHf) produce less corrosive byproducts compared with halide precursors (e.g., HfCl4). The byproducts themselves neither etch the adsorbates nor cause additional surface roughness, which in turn affords good conformal coating on high aspect ratio structures. As a liquid precursor, they can be easily vaporized, unlike solid HfCl4, yielding significant growth-per-cycle (GPC) due to high transport efficiency. There is no chlorine contamination in the deposited HfO2 film, which leads to reduced interface charges, improved dielectric properties, and suppressed leakage current. Metal alkylamides also exhibit higher reactivity, which enables lower deposition temperature. In general terms, the hafnium alkylamide precursors are preferred for high aspect ratio applications like DRAM and 3D MIM Capacitors, while for planar logic and FinFET devices hafnium chloride is typically used.

Strem Chemicals, Inc., a leading fine chemicals supplier, headquartered in Newburyport, Massachusetts, USA, boasts a vast variety of metal alkylamide precursors for depositing Hf-based materials in different applications. The table below outlines three Hf-based metal alkylamide precursors from Strem’s catalog.

Please find here one of the well-cited publications on ALD of HfO2 from Prof. Gordon’s Harvard laboratory. This article from 2002 takes advantage of the above three Hf alkylamides and water in deep trench DRAM structures (from Infineon) to study the properties of the deposited oxide films by Hausman et al.

About Strem Chemicals: Strem is an employee-owned specialty chemicals supplier, manufacturing, and marketing high quality specialty chemicals for more than half-a-century. They provide custom synthesis, and FDA inspected current good manufacturing practice (cGMP) services to their clients from academia, industry and government R&D labs. What distinguishes Strem from other chemicals suppliers is their continued commitment to quality. This help Strem deliver precursors with, by and large, 99% metals purity including some metal precursors with even 99.9999% purity. Strem offers over 5,000 specialty products in the area of metals, inorganics, organometallics, and nanomaterials. Strem’s product range includes:

 
 ----------
Promotional blog written and researched by Abhishekkumar Thakur and Jonas Sundqvist, BALD Engineering AB
 

Friday, July 26, 2019

SAVE THE DATE - AVS ALD2020 and ALE will be held June 28-July 1, 2020 in Ghent, Belgium

SAVE THE DATE - AVS ALD2020 and ALE will be held June 28-July 1, 2020 in Ghent, Belgium

The AVS 20th International Conference on Atomic Layer Deposition (ALD 2020) featuring the 7th International Atomic Layer Etching Workshop (ALE 2020) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching.  Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2020 Workshop (ALE 2020), so that attendees can interact freely. The conference will take place Sunday, June 28-Wednesday, July 1, 2020, at the International Convention Center in Ghent, Belgium.
As in past conferences, the meeting will be preceded (Sunday, July 28) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, June 29-July 1) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.


Source: AVS


Monday, July 22, 2019

Beneq unveils R2, a compact modular platform for ALD research and application development

Modular Design and Attractive Price Point Make Advanced ALD Research More Accessible Than Ever


The new Beneq R2 is physically unveiled at the Beneq showroom (3rd floor) of the ALD 2019 conference in Bellevue WA, between July 22-24.


Bellevue, Washington, USA – Beneq today unveiled R2, a compact modular platform that makes it dramatically easier for universities, research institutes and enterprises to start advanced ALD research. Completely redesigned from the ground up with ergonomics in mind, the basic R2 model comes fully equipped for thermal ALD. With flexible add-on options customers can easily expand to other advanced processes such as plasma, batch processing, powder ALD, and reduced flow.



Hear the story behind the product, from the team who created the Beneq R2.

For researchers entering the rapidly growing domain of ALD research, the investment in equipment has always been subject to great uncertainty. Academic researchers find themselves having to choose between a beginner’s system with heavily limited capabilities or a full-fledged system at a prohibitive price – often diminishing available budgets.

The R2 system is designed to offer full features of thermal ALD, at a compact enclosure and an attractive price point. Built with all industry-standard equipment, the R2 delivers outstanding value whether you are experimenting in ALD research, process engineering or application development. It is designed by the same team of experts who created the TFS 200, the premium clusterable ALD research tool preferred by universities and researchers worldwide. “The Beneq R2 carries the same heritage and know-how that has made Beneq the leader in ALD for the last 25 years,” said Patrick Gonzalez, Beneq’s Technical Sales Manager. “The basic R2 system comes configured right out of the box, so you can experiment with encapsulation, high k-dielectrics, and everything in between.”


Ergonomic Design


To create a minimalist product that is easy to use, Beneq completely redesigned the physical look of the R2. To minimize the amount of piping required, the R2’s liquid sources are made extremely compact and placed close to the vacuum chamber. Unlike conventional designs heat source cabinets are repositioned right next to the vacuum chamber at a convenient height, making it easier than ever to replace containers and operate the tool. On the R2 Beneq has created a patent-pending air-cooling system over the vacuum chamber, minimizing the risk of overheating and avoiding unintended injuries.

Easy Upgrades


With a revolutionary modular design the Beneq R2 offers customers a suite of expansion options for the vacuum chamber, hot sources, or process types such as reduced flow for high-aspect ratio structures. Users can select their own configuration when placing a new order, or choose to upgrade the tool later. To learn more, visit www.beneq.com/r2.


About Beneq


Beneq is the home of ALD, offering a wide portfolio of equipment products and development services. Today Beneq leads the market with innovative solutions for advanced R&D (TFS 200, R2), flexible high-volume manufacturing (ALD 3, ALD 4), ultra-fast high precision spatial ALD coatings (C2R), roll-to-roll thin film coating of continuous webs (WCS 600), and specialized batch production for thicker film stacks (P400, P800). Headquartered in Espoo, Finland Beneq is dedicated to making ALD technology accessible for researchers and providing the invisible advantage in emerging semiconductor applications.



Press Contact

Lie Luo

Beneq

lie.luo@beneq.com




In Situ Cu Surface Cleaning with Anhydrous Hydrazine highlighted at AVS ALD 2019 by University of Texas at Dallas and RASIRC

Copper replaced Aluminum for interconnects in the semiconductor industry due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability [1].

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.


Figure 1. Schematic of (a) RTALD system, (b) Process sequence, and (c) representative time sequence of stop valve process.

In summary, it could be shown that following an ozone treatment (Figure 1) a N2H4 treatment could effectively reduce the Cu2O to metallic Cu(0) from 150 – 200 oC. In addition, there was no detection of intermediate materials (e.g. Cu3N, Cu(OH)2, CuH, etc.). The following possible thermodynamic reaction is given CuO + Cu2O + N2H4 à 3Cu + 2H2O(g) + N2(g)

The details of the study will be presented at AVS ALD2019 and future work will be on potential application to Ru and Co cleaning/reduction, which have become important interconnect metals for 14/16 nm Logic and below, especially at the highly scaled lower metallization levels (M0 to M4).

References

1. R. P. Chaukulkar, N. F. W. Thissen, V. R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A, 32, 01A108 (2014).
2. Copper interconnects, Wikipedia LINK: https://en.wikipedia.org/wiki/Copper_interconnects
3. Y.-L. Cheng, C.-Y. Lee, and Y.-L. Huang, in Noble and Precious Metals-Properties, Nanoscale Effects and Applications, M. Seehar and A. Bristow, Editors, p. 216–250, Intechopen (2018).
4. C. K. Hu et al., Microelectron. Eng., 70, 406–411 (2003).
5. L. F. Pena, J. F. Veyan, M. A. Todd, A. Derecskei-Kovacs, and Y. J. Chabal, ACS Appl. Mater. Interfaces, 10, 38610–38620 (2018).
6. Y. Chang, J. Leu, B.-H. Lin, Y.-L. Wang, and Y.-L. Cheng, Adv. Mater. Sci. Eng., 2013, 1–7 (2013).
7. D. M. Littrell, D. H. Bowers, and B. J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases, 83, 3271–3282 (1987).


Tuesday, July 16, 2019

Endura Impulse - Applied Materials’ New Memory Machines

Tools designed to rapidly build embedded MRAM, RRAM, and phase change memories on logic chips expand foundry options

Applied Materials unveiled Endura Impulse System incorporating nine physical vapor deposition reactors to rapidly build STT-MRAM, RRAM or PCRAM, on 9 July at Semicon West, in San Francisco.
Chip equipment giant Applied Materials wants foundry companies to know that it feels their pain. Continuing down the traditional Moore's Law path of increasing the density of transistors on a chip is too expensive for all but the three richest players—Intel, Samsung, and TSMC. So to keep the customers coming, other foundries can instead add new features, such as the ability to embed new non-volatile memories—RRAM, phase change memory, and MRAM—right on the processor. 
The trouble is, those are really hard things to make at scale. So, Applied has invented a pair of machines that boost throughput by more than an order of magnitude.



Applied Materials' Endura Impulse uses nine physical vapor deposition systems to rapidly build RRAM or PCRAM. Photo: Applied Materials 
Source: Applied Materials LINK
----------
By Abhishekkumkar Thakur 

Monday, July 15, 2019

Entegris Acquires MPD Chemicals

BILLERICA, Mass. Entegris, Inc. (NASDAQ: ENTG), a leader in specialty chemicals and advanced materials solutions for the microelectronics industry, today announced it acquired MPD Chemicals, a provider of advanced materials to the specialty chemical, technology, and life sciences industries. This acquisition will continue to grow and diversify Entegris’ engineered materials portfolio, which was recently expanded with the acquisition of Digital Specialty Chemicals (DSC).


Digital transformation is driving the development and technical capability requirements of modern technologies like artificial intelligence, virtual reality, and autonomous vehicles. To achieve the performance for these technologies, manufacturers have adopted new, more complex chip designs. These changes have increased the demands on the materials used in all steps of semiconductor processing. Along with the recent acquisition of DSC, the acquisition of MPD Chemicals will expand Entegris’ capabilities in the development and production of new organosilane, and organometallic materials. These materials are critical to innovation in the specialty chemical and semiconductor industries.

“Acquiring MPD Chemicals demonstrates our commitment to enabling the semiconductor technology roadmap through investments in purification, delivery, and advanced materials,” said Bertrand Loy, president and CEO of Entegris. “The deposition material market is one of the fastest growing market segments in semiconductor applications, driven by the adoption of new materials and more complex, chip architectures. Our recent investments in this space expands our ability to provide our customers the manufacturing scale and capabilities to enable their most demanding applications.”

Entegris acquired MPD Chemicals for approximately $165 million in cash, subject to customary purchase price adjustments. Entegris funded the acquisition from its available cash. MPD Chemicals will be a part of the Specialty Chemicals and Engineered Materials (SCEM) segment.

Saturday, July 13, 2019

Picosun launches a new ALD product platform for up to 200 mm wafer markets

SEMICON West, SAN FRANCISCO, CA, USA, 9th July 2019 – Picosun Group, the leading provider of AGILE ALD™ (Atomic Layer Deposition) thin film coating solutions, has launched PICOSUN™ Morpher, a new ALD product platform designed to disrupt the up to 200 mm wafer markets in Beyond and More than Moore domains.

The PICOSUN™ Morpher ALD platform enables fast, cost-efficient, high volume production of e.g. MEMS, sensors, LEDs, lasers, power electronics, optics, and 5G components with the leading process quality, reliability, and operational agility.



“Morpher starts a completely new era in PICOSUN™ products, enabling the most advanced devices and components for IoT, 5G communications, autonomous transportation, AR and VR, to name just a few end applications,” states Dr. Jani Kivioja, CTO of Picosun Group.


Morpher adapts to the changing needs of different business verticals, from corporate R&D to production and foundry manufacturing. Unmatched versatility in substrate materials, substrate and batch size, and the comprehensive process range make Morpher truly a transformable, all-inclusive manufacturing facility for a wide range of semiconductor industries. The SEMI certified PICOSUN™ Morpher comes with completely new software where intuitive and user-friendly HMI allows unified control of the whole cluster.

“Morpher’s flexibility and adaptability, combined with the latest design attributes and the state-of-the-art software truly manifest our slogan ‘Agile ALD’. Together with our comprehensive consultancy, knowledge transfer and lifecycle management services we provide our customers a genuinely strategic partnership to keep them at the cutting edge of their industries,” continues Dr. Kivioja.






Thursday, July 11, 2019

RASIRC Utilizes Novel Safe Hydrazine Delivery System to Enable Low Temperature Group III Metal-Nitride Deposition

Company presents AlN deposition results at International Conference on Nitride Semiconductors

San Diego, Calif – July 8, 2019 – RASIRC will discuss the benefits of anhydrous hydrazine as a nitrogen source at the 13th International Conference on Nitride Semiconductors (ICNS-13) in Bellevue, WA on July 7–12. RASIRC Chief Technology Officer Dr. Daniel Alvarez will present “Low Temperature Aluminum Nitride Deposition Enabled by Hydrazine” during the event’s poster session on Tuesday July 9. The poster will compare growth characteristics and film properties for TMA/Brute Hydrazine versus TMA/Ammonia. 
“To date, low temperature III-Nitride deposition has been plagued by low growth rates and unwanted residual carbon and hydrogen remaining from precursor materials. Utilization of hydrazine is thermodynamically more favorable due to the reactive nature of the N-N bond,” says Alvarez. “Hydrazine has been around for many years, but is only now ready for III-Nitride manufacturing thanks to safety and contamination issues addressed by RASIRC.”

RASIRC President and Founder Jeffrey Spiegelman adds, “The use of hydrazine will enable our customers to have larger process windows while reducing costly precursor consumption found with sources like Indium.”

ICNS-13 will present high-impact scientific and technological advances in materials and devices based on group-III nitride semiconductors, and will feature plenary sessions, parallel topical sessions, poster sessions and an industrial exhibition. The ICNS-13 Exhibit offers the most direct access to researchers from around the world who are seeking technical solutions to their challenges.

Dr. Alvarez will be available at ICNS-13 to discuss the RASIRC precursor chemistry product line-up, which includes hydrazine, hydrogen peroxide and more. Information about RASIRC products will also be available in the Matheson Gas exhibition booth.

About RASIRC Products

BRUTE® Hydrazine enables uniform nitride deposition for Silicon and Group III metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

BRUTE Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is more dense and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This enables better selectivity and less damage to metal surfaces in ASD processes.

RASIRC Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures. Additional RASIRC products include the RainMaker® Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, wet thermal oxidation and residual carbon removal.

Monday, July 8, 2019

Picosun to deliver the first ALD tools to Africa at University of Johannesburg

[IT-Online LINK] For the first time ever, a pathway for ALD-enhanced materials to be rapidly developed and transitioned in a University of Johannesburg laboratory will be available for nearly any application. The laboratory construction is a natural next step in implementing the strategy to establish national nanotechnology in South Africa.

UJ aims to lead the world’s research and development on cutting edge nanomaterial fabrication technology. A major nanofabrication facility housing world class ALD reactors at the School of Mechanical & Industrial Engineering last week broke ground for construction within the Department of Mechanical Engineering Science at the Auckland Park Kingsway Campus (APK).

The University of Johannesburg’s Faculty of Engineering and the Built Environment (FEBE) is looking forward to the new Atomic Layer Deposition (ALD) cleanroom facility that will transform the South African economy while also ensuring that cutting-edge and high quality learning opportunities are on offer.



The PICOSUN™ R-200 Advanced ALD systems are suitable for R&D on dozens of applications such as IC components, MEMS devices, displays, LEDs, lasers, and 3D objects such as lenses, optics, jewelry, coins, and medical implants (LINK).

The establishment of the Atomic Layer Deposition (ALD) cleanroom facility will assist in providing academics with the ability to manage material properties at an atomic level. The desired materials with preferred nano-structure can be assembled by applying layers of atoms/molecules on the substrate to simultaneously achieve the required level of performance and precise parameter control. Practical applications of nanotechnology include the use of ALD ultrathin films in, for example, photovoltaics, optical coatings, barrier coatings to protect against tarnish and corrosion, and in a variety of semiconductors, superconductors, and flexible electronics.

The project, scheduled to finish in four months, is one of the country’s major mega engineering facilities in Africa.

“This is an incredibly exciting time for the Faculty of Engineering,” says Professor Daniel Mashao, Executive Dean: Faculty of Engineering and the Built Environment (FEBE). “The building will be spectacular and we’ll be doing great science here very soon as we have the space and infrastructure to grow and do research in a way we simply couldn’t do before.”

“This additional laboratory space, designed specifically to encourage the kind of interdisciplinary research UJ is known for, will be critical to growing the school’s research enterprise,” says Dr Daniel Madyira, Acting Head of Department (HOD): Mechanical Engineering Science, at the groundbreaking ceremony.

Professor Tien-Chien Jen, the substantive Head of the Department of Mechanical Engineering who is currently on an international expedition in the USA, spearheads the project. This ALD cleanroom facility with the two state-of-the-art reactors (R-200 and R-200 advance supplied by Picosun, Oy) were funded by NRF NEP (National Equipment Program) and IBP (Infrastructure Bridge Program) with a total funding around R18 million.

“This project is a critical step in the Institution’s vision of the 4.0 future, providing the technological basis for the construction of a cleanroom laboratory, which will assist our engineers when they use special ‘sub-nano-scopic’ techniques that allow the manufacturing of individual ultra-thin films on the surface with atomic scale deposition precision and nearly prefectcomformality. This facility will illustrate a better understanding of the way that atoms/molecules move together to synthesise the new and functionalised materials, that may have major impacts on our ability to design and use these new nanostructure materials for vast applications in the fields of energy, materials, medical devices semi-conductors etc,” explains Dr Mashao.

This ALD cleanroom facility will provide an ultra-low number of particle (ISO-7) and nearly vibration- free environment for the two state-of-the-art ALD reactors (Picosun R-200 and R-200 advance), which allow the engineering squad to study numerous states and properties of various unique new nanomaterials at the atomic scale. It will be a unique building in Africa and seeks to lead the world in state-of-the-art research on nanotechnology.

Construction is expected to be finished by September 2019 and reactors installation and advance training will be provided by Picosun and is expected to be completed by the end of October. The ALD cleanroom facility will be fully functional in November 2019.

Laboratory activities will be aimed at offering a wide range of services: ALD applied research studies, demonstrating ALD opportunities, integrating ALD into various industries, implementing international ALD experience, manufacturing and analysing samples, coating services and pilot manufacturing, as well as technology training and applying ALD equipment.

Swiss Empa and Flisom AG reports 20.8% conversion efficiency for flexible CIGS solar cells

[Taiyang News, LINK]The Swiss Federal Laboratories for Materials Testing and Research (Empa) has reported achieving 20.8% conversion efficiency for copper indium gallium diselenide (CIGS) solar cells on flexible polymer substrate. With this, Empa says, it has broken its own mark by reaching 0.4% points higher efficiency.

The cells were produced by The Empa Laboratory for Thin Films and Photovoltaics and the findings have been published in the special issue ‘Excellence in Energy’ of the journal ‘Advanced Energy Materials’.

The flexible CIGS solar modules are commercially available from the Empa spin-off Flisom AG (LINK).


Sunday, July 7, 2019

Rapid and Selective Deposition of Patterned Thin Films on Heterogeneous Substrates via Spin Coating

[Tokyo Electron] Researchers at UC Santa Barbara along with TEL and SRC have collaborated to develop new methods for selective spin coating. With wide-ranging applications in the future of semiconductor patterning as device makers are challenged to build more complex transistors and simultaneously lower costs. 

They demonstrate that accurate control over the process parameters allows incomplete trichlorosilane self-assembled monolayers (SAMs) to induce spin dewetting on both homogeneous (SiO2) and heterogeneous (Cu/SiO2 or TiN/SiO2) surfaces. Under optimal conditions, spin dewetting on line–space patterns results in the selective deposition of polymer over regions not functionalized with SAM.  

Source: "Rapid and Selective Deposition of Patterned Thin Films on Heterogeneous Substrates via Spin CoatingLINK

Thursday, July 4, 2019

Integrated Process Monitoring for New Memories (MRAM, PCRAM, ReRAM) by Applied Materials

[Applied Materials] For new memories to reach high-volume manufacturing, the industry must enable new process control solutions says Applied’s Niranjan Khasgiwale. Read his latest blog to find out what’s driving the need for new metrology techniques.

Applied Materials: LINK 

 

Lam Research’s Richard Gottscho shares his perspective advanced processes for 5 and 3 nm

5nm, 3nm, or something in between? Lam Research’s Richard Gottscho shares his perspective on how chipmakers should prepare for the next wave of advanced processes in a Semiconductor Engineering article.


Semiconductor Engineering: LINK

Wednesday, July 3, 2019

Aixtron delivers 3x2" CCS MOCVD system to Nagoya University for DUV opto device research

Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany has delivered a Close Coupled Showerhead (CCS) system to Nagoya University in Japan. Installed at the university’s Institute of Materials and Systems for Sustainability (IMaSS), the 3x2-inch Flip Top CCS metal-organic chemical vapor deposition (MOCVD) platform is intended for research on gallium nitride (GaN)-based deep-ultraviolet (DUV) optoelectronic devices.

Nagoya University is a leading Japanese research institution for semiconductor materials, especially in the field of GaN-based structures. By focusing on the development of DUV devices using Aixtron’s 3x2-inch Flip Top CCS MOCVD tool, IMaSS is targeting a wide range of future-oriented applications in areas such as agriculture, health or water purification.
 
Source: Semiconductor TodayLINK
 

Tuesday, July 2, 2019

Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD

Here are more details and analyst responses on the Applied Materials Kokusai purchase and my own thoughts at the end:

(Reuters, LINK) - U.S. chip gear maker Applied Materials Inc (AMAT.O) on Monday agreed to buy Japanese peer Kokusai Electric for $2.2 billion from KKR & Co Inc (KKR.N), as it bets on rising demand for memory chips used in data centers, 5G phones, and AI-powered devices.  

In summary:
  • Kokusai is a small acquisition for Applied materials as compared to the previously failed mega-merger with Tokyo Electron, meaning that the road to approval should be easy. However, China’s willingness from a political standpoint is always a risk, Evercore analysts said. 
  • Apart from China, the acquisition will need approvals from Israel, Ireland, Japan, Korea and Taiwan, Applied Materials Chief Financial Officer Dan Durn said on a call with analysts.
  • Kokusai, which counts Samsung, SK Hynix, Toshiba and Micron among its top customers, reported revenue of $1.24 billion as of March 2018. 
  • Kokusai’s batch wafer processing tools are less technology intensive than Applied Materials’ single wafer tools, the recent focus on ultra-thin films has driven renewed interest in this group, DA Davidson analysts said.
So this whole purchase is really about Applied Materials getting a state of the art ALD technology for the memory business (DRAM and 3DNAND). The last readout is a bit crazy, the analyst refers to ALD as an "Ultra Thin Films". Anybody who has followed the ALD business the previous 15-20 years know that Applied Materials has repeatedly failed to take a big market share in ALD and that a Japanese Large Batch ALD reactor is one of the most advanced and reliable ALD tools out there - simply because nobody would like to trash a full load of +100 product wafers. The top three domination has been by:
  • ASM International
  • Tokyo Electron
  • Kokusai
The top 3 has been followed by Lam Research, Jusung Engineering, Wonik IPS and Applied Materials was always somewhere in this bunch. Even the inrodcution of the new Spatial ALD Olympia platform didn´t change things. It seems that Tokyo Electron took a large part of the spatial ALD market with their NT333 tool and ASM was able to defend their single wafer approach by making the XP platform super productive by adding more chamber slots (up to 16 for the latest ASM XP8 QCM).  

When it comes to IP in Spatial ALD, Tokyo Electron is No.1 followed by Applied Materials (see below).

IP Applications for spatial ALD

Magically, Kokusai settled the IP issues with ASM just before the Applied announcement (LINK). Historically, Kokusai has been masters in avoiding to call ALD ALD because of the IP situation. However, now there is a different situation and Kokusai also have single wafer ALD out there, and Applied is dominating the BEOL films deposition business so we can assume that Applied will enter top three and have a go at No 1. Exciting!