Monday, June 8, 2015

IBM Zurich present III-V on silicon wafers breakthrough technology using ALD

IBM has done it - a method of depositing ultra-fast III-V nanowires suitable for transistor channels and other structures on silicon-on-insulator (SOI) substrates -  and for sure ALD was involved in one of the early crucial processing steps to create the template for TASE - Template Assisted Selective Epitaxy. 

"A 30-nm-thick SiO2 layer covering the entire structure was conformally deposited using atomic layer deposition (ALD) " 

Check out the details below and in the Open Access paper!

Template-assisted selective epitaxy of III–V nanoscale devices for co-planar heterogeneous integration with Si (Open Access)

H. Schmid, M. Borg, K. Moselund, L. Gignac, C. M. Breslin, J. Bruley, D. Cutaia and H. Riel
Appl. Phys. Lett. 106, 233101 (2015); http://dx.doi.org/10.1063/1.4921962 



Schematic (a) and SEM images (b)–(d) illustrating stacking of Si and III-V NWs. (b) SEM image shows a tilted view of three stacked template structures. (c) SEM cross-section image of the Si NW stack and (d), TEM image of the GaAs NW stack (Appl. Phys. Lett. 106, 233101 (2015); http://dx.doi.org/10.1063/1.4921962)

III–V nanoscale devices were monolithically integrated on silicon-on-insulator (SOI) substrates by template-assisted selective epitaxy (TASE) using metal organic chemical vapor deposition. Single crystal III–V (InAs, InGaAs, GaAs) nanostructures, such as nanowires, nanostructures containing constrictions, and cross junctions, as well as 3D stacked nanowires were directly obtained by epitaxial filling of lithographically defined oxide templates. The benefit of TASE is exemplified by the straightforward fabrication of nanoscale Hall structures as well as multiple gate field effect transistors (MuG-FETs) grown co-planar to the SOI layer. Hall measurements on InAs nanowire cross junctions revealed an electron mobility of 5400 cm2/V s, while the alongside fabricated InAs MuG-FETs with ten 55 nm wide, 23 nm thick, and 390 nm long channels exhibit an on current of 660 μA/μm and a peak transconductance of 1.0 mS/μm at VDS = 0.5 V. These results demonstrate TASE as a promising fabrication approach for heterogeneous material integration on Si.



SEM images illustrating epitaxial filling of complex nano structures. (a) Evolution of the growth during filling of three templates, each having a lithographically pre-defined constriction. (b) Formation of an InAs cross-junction for the later fabrication of a Hall structure. The InAs film thickness is 23 nm (Appl. Phys. Lett. 106, 233101 (2015); http://dx.doi.org/10.1063/1.4921962).




The fabrication steps of TASE : a (100)-oriented SOI substrates (Soitec) with a device layer thickness of 25–50 nm were patterned using e-beam lithography and reactive ion etching. A 30-nm-thick SiO2 layer covering the entire structure was conformally deposited using atomic layer deposition (ALD) and annealed at 850 °C in Ar/H2. The SiO2 cap on one end of the Si structure was opened by patterning polymethylmethacrylate (PMMA) by e-beam lithography and buffered hydrofluoric acid (BHF) etching to expose the Si device layer. Next the Si was back-etched to the desired length using either XeF2 dry etching followed by tetramethylammoniumhydroxide (TMAH) wet etching or TMAH etching only, to result in well-defined {111} planes. The orientation of the {111} planes with respect to the channel direction was controlled by the alignment of the channel patterns. All structures reported here were patterned along the 〈110〉 direction. The as-prepared substrate was dipped in diluted (2.5%) HF to remove the native oxide on the exposed Si surfaces within the channels and was immediately loaded into the MOCVD reactor. Selective epitaxy of InGaAs was carried out using trimethylindium (TMIn), tertiarybutylarsine (TBAs), and trimethygallium (TMGa) at V/III ratio = 40 with TMIn/(TMIn+TMGa) = 0.5 at 580 °C. Chemical analysis was obtained from electron energy loss spectroscopy (EELS) analysis and indicated an In0.50Ga0.50As composition. InAs epitaxy was carried out at 520 °C using TMIn and TBAs with a V/III ratio = 80 and V/III ratio = 40 for the MuGFETs, respectively. Optionally, the dielectric template was removed after growth by wet etching in diluted HF, to expose the Si–III-V nano-structure on the SiO2 layer (BOX). 





Sunday, June 7, 2015

ALD and Beneq in the Innovation Hotspot for Nanomanufacturing

ALD is identified as one of the Paradigms of Innovation Hotspots in Nanomanufacturing in a recent Frost & Sullivan report on Innovations in Nanomanufacturing. Also a big congratulations to Beneq Oy from Finland, an ALD company identified as one of the "Key Stakeholders in Nanomanufacturing mastering". Beneq is mastering both ALD and Roll to Roll manufacturing.



New analysis from Frost & Sullivan, Innovations in Nanomanufacturing, finds that nanomanufacturing will gain traction in the next three to five years and is likely to approach commercialization between 2018 and 2020. Nanomanufacturing will find vast uses in consumer electronics, healthcare, automotive lighting, building automation, smart fabrics, display technology and positioning systems.



For complimentary access to more information on this research, please visit: http://bit.ly/1xL9GNe.

Current nanomanufacturing techniques do not support mass-scale production, as the fabrication of a large number of nano-devices repeatedly and under precisely controlled conditions remains a challenge. Nanomanufacturing is also very complex, involving several processes and a high level of supervision.



ALD is identified as one of the Paradigms of Innovation Hotspots in Nanomanufacturing ("D56D-TI : Analysis of Innovations in Nanomanufacturing", Slide used with permission from Frost & Sullivan)

"Bottom-up approaches such as chemical vapor deposition, atomic layer deposition and self-assembly, which ensure high accuracy and minimal material wastage, will accelerate the adoption of nanomanufacturing," said Technical Insights Research AnalystJabez Mendelson. "Progress in sensor and material coating technologies will also boost nanomanufacturing."

To that end, numerous universities and research institutes are conducting research and actively filing patents. Most R&D activities have emerged from Asia-Pacific, considered the hub for electronic manufacturing.


Beneq Oy from Finland is identified as one of the Key Stakeholders in Nanomanufacturing mastering both ALD and Roll to Roll manufacturing. ("D56D-TI : Analysis of Innovations in Nanomanufacturing", Slide used with permission from Frost & Sullivan)


However, high initial investment and R&D costs inhibit the large-scale deployment of nanomanufacturing. Hence, active funding from governments as well as private investors will boost commercialization of nanomanufacturing.

"Collaboration between various stakeholders in the value chain will propel nanomanufacturing technologies to the next stage of growth," said Technical Insights Senior Research Analyst Sumit Kumar Pal. "The field offers immense scope for technology licensing and partnerships, an avenue that stakeholders must explore to capitalize on this vast opportunity."

Innovations in Nanomanufacturing, a part of the Technical Insights subscription, covers key technological advances in nanomanufacturing evaluated following extensive interviews with market participants. The report captures stakeholder initiatives, key technological trends, innovation hotspots, business implications of innovations with regard to different application segments, and factors influencing development landscape.

Nanodiamond ball bearings wrapped in graphene create a virtually frictionless surface

A method that reduces friction between two surfaces to almost zero on macroscopic scales has been demonstrated by US researchers. The phenomenon combines nanodiamonds with sheets of graphene, which curl around the nanodiamonds to form ‘nanoscrolls’ that lubricate the two surfaces. As friction wastes so much energy in all sorts of mechanical devices this discovery has huge potential to save both energy and money.

Formation of the graphene scroll around nanodiamond at 300 K with sliding velocity of 40m/s in x-direction in a dry environment. The movie demonstrate the dynamic evolution of graphene patches from flat flakes to scrolls around the nano diamonds.

Just looking at the movie you want to go in there and test out how the system would react on a couple of ALD cycles of different materials.


The magic formula in formulation chemistry

Here is an article in Chemistry World about formulation chemistry in the UK. It has some interesting points that apply for all of you developing ALD chemistries and maybe also ALD for micro-encapsulation like in the story on Nanexa a some weeks ago (here). It is written by Simon Rushworth whom you might know from Epichem, he was later the lead for high value manufacturing at the UK Knowledge Transfer Network and is now lead for collaborative R&D at Epivalence



The full article can be found here: Flexible, faster formulation

The magic formula

Formulation chemistry is an important area where consumer demand for new and improved products, available on ever-shortening timescales, is driving the decisions multinational companies make about where and how to invest in production assets. The UK government recently recognised this with a £20 million investment in a national formulation centre.

One of the most valuable goals for formulation is minimising the amount of chemical required to give a desired result. This is about more than just enhancing the efficacy of the active ingredients; it is about directing where those ingredients are delivered. Reducing the amount of chemical gives a better product, improves sustainability, supports mass customisation and reduces the cost.

In this regard, microencapsulation has received a lot of attention recently. It was initially developed for the agrichemical sector to deliver pesticides efficiently while avoiding harmful exposure, but it became a way to improve the performance of existing pesticides at a time when the regulatory climate was, in effect, preventing new pesticide development. Later, triggers were built in to break down the microcapsule walls using light, or to break them down using basic or acidic conditions, depending on the application. These advances are now spreading to pharmaceuticals, fragrances, textiles and many other sectors - the same technology could allow, for example, anticancer drugs to be selectively released in cancer cells.

Selective encapsulation is scientifically very challenging, but high-tech spin-out companies such as Aqdotare developing technologies with the potential to make huge changes to manufacturing. Aqdot’s technology won support from Innovate UK, via its 2013 formulation competition, and was then recognised by the Royal Society of Chemistry with an Emerging Technologies award in 2014.

Saturday, June 6, 2015

Superconformal Chemical Vapor Deposition at Urbana-Champaign

Oh no! Here is some serious competition from the CVD Folks - Superconformal Chemical Vapor Deposition. ACHTUNG! The basic idea is that the CVD precursor flux is augmented by a small flux of a growth suppressor, which slows deposition near the upper surface of the substrate while permitting growth at normal rates deep in a trench or via. Full details on this project running at University of Illinois at Urbana-Champaign you can find down below.



We ALD people, and especially we who have been growing Ta2O5 by the TaCl5/H2O process knows that this behavior can be naturally occurring in many basic ALD processes. It´s that downward path in the classical description of the ALD Process window in the form of by product etching, precursor desorption, sputtering effects in PEALD and the ever reoccurring trouble with surface growth inhibition by the by-product. Knowing this we also know that it is not straight forward to realize conformal growth in such a process or even harder bottom up growth. In any case, it will be interesting to follow the outcome of this project since I am pretty convinced that the same principles can be done in ALD and especially in production were you typically leave the ALD window to gain throughput.

Superconformal Chemical Vapor Deposition

Track Code TF05136
Short Description

The CVD precursor flux is augmented by a small flux of a growth suppressor, which slows deposition near the upper surface of the substrate while permitting growth at normal rates deep in a trench or via.
Abstract

Manufacturers can not achieve superconformal (bottom-up) filling of high aspect ratio features by a modified chemical vapor deposition method. The CVD precursor flux is augmented by a small flux of a growth suppressor, which slows deposition near the upper surface of the substrate while permitting growth at normal rates deep in a trench or via.

DESCRIPTION/DETAILS


As circuit densities increase, the width of their gap structures decrease, making uniform deposition of semiconductor materials more difficult and more expensive. In order to keep-up with the demand for increasing miniaturization, transistor manufacturers have developed several novel alternatives to physical layer deposition.

Among the most successful of these alternatives is Atomic Layer Deposition (ALD), a technique that essentially builds thin-film depositions one atom-layer at a time. Atomic layer deposition, however, is slow and must be repeatedly exposed to alternating reactive gasses. Electrochemical Deposition (ECD) has also been used as a solution in increasing circuit densities, but ECD is limited to certain materials and requires an additional "wet processing" step.

Superconformal CVD avoids these obstacles through super heating elemental hydrogen or nitrogen, changing the gas into its atomic, or plasmatic, state. During the chemical deposition process, a plasma beam that is directed at the substrate suppresses the chemical reaction along the surface of the etched wafer, preventing undesirable material accumulation around the cusp of the wafer's trenches.

APPLICATIONS


For use in the development of micro- and nano-scale semiconductor devices, Superconformal CVD gives producers of microchips, MEMS, and various other microelectronic devices greater control over their manufacturing process.

All current methods of CVD: Superconformal CVD has been demonstrated to be successful for the deposition of several different types of materials. The technique should be widely applicable for the superconformal deposition of essentially any material, although additional development work may be required to identify the best combination of suppressor gas and growth gas.

High Aspect Ratio Processes: With our improved method, pinch-off is reduced or eliminated, often achieving complete filling even for aspect ratios as high as 50:1.

BENEFITS


The semiconductor device industry strives to develop manufacturing techniques to meet increasingly stringent design rules. By offering a gas-phase method for achieving superconformal, bottom-up filling of features with high aspect-ratios, this technology solves a long-standing manufacturing obstacle.
Eliminates Pinch-off: Pinch-off occurs when unwanted film deposition near the opening of a trench or via accumulates to the point that the gap closes. Pinch-off creates voids within a trench or via, resulting in reduced device performance. These problems have proven difficult to overcome; alternative manufacturing methods - atomic layer deposition (ALD) and electrochemical deposition (ECD) - require several extra steps.
Fast and inexpensive: Our Superconformal Chemical Vapor Deposition method is fast and inexpensive and does not require extra chemical washing or polishing steps. The process is compatible with existing microelectronic manufacturing methods.
Clean deposition: Atomic hydrogen often serves as an effective suppressor gas, and a significant advantage of its use is that impurities are not introduced that could compromise device performance. The metastable nature of the atomic hydrogen ensures that it rapidly recombines on and desorbs from the surface as molecular hydrogen gas.

For more information about this technology, please contact the University of Illinois at Urbana-Champaign Office of Technology Management at otm@illinois.edu.

Friday, June 5, 2015

Chemically converted graphene: scalable chemistries to enable processing and fabrication (Open Access)

Here is a very good and comprehensive Open Access review from University of Wollongong, Australia (1, 2) of the chemistries for development of aqueous and organic solvent graphene dispersions. 

The Fabrication of  graphene dispersions or composites is also reviewed and those are:
  • printing (inkjet and extrusion) 
  • spinning methods (wet)
In addition, their use for the preparation of a variety of polymer composites, materials useful for the fabrication of graphene based structures and devices is also reviewed.

To conclude - a good starting point for anybody who want to get started with graphene fabrication and applied research in the lab!

Chemically converted graphene: scalable chemistries to enable processing and fabrication (Open Access)

Sanjeev Gambhir, Rouhollah Jalili, David L Officer and Gordon G Wallace
Citation: NPG Asia Materials (2015) 7, e186; doi:10.1038/am.2015.47
Published online 5 June 2015





Steps involved in forming graphene composites or devices.


Abstract: Graphene, a nanocarbon with exceptional physical and electronic properties, has the potential to be utilized in a myriad of applications and devices. However, this will only be achieved if scalable, processable forms of graphene are developed along with ways to fabricate these forms into material structures and devices. In this review, we provide a comprehensive overview of the chemistries suitable for the development of aqueous and organic solvent graphene dispersions and their use for the preparation of a variety of polymer composites, materials useful for the fabrication of graphene-containing structures and devices. Fabrication of the processable graphene dispersions or composites by printing (inkjet and extrusion) or spinning methods (wet) is reviewed. The preparation and fabrication of liquid crystalline graphene oxide dispersions whose unique rheologies allow the creation of graphene-containing structures by a wide range of industrially scalable fabrication techniques such as spinning (wet and dry), printing (ink-jet and extrusion) and coating (spray and electrospray) is also reviewed.

(1) The Materials Node, The Australian National Fabrication Facility, Intelligent Polymer Research Institute, AIIM Facility, Innovation Campus, University of Wollongong, Wollongong, NSW, Australia
(2) ARC Centre of Excellence for Electromaterials Science, Intelligent Polymer Research Institute, AIIM Facility, Innovation Campus, University of Wollongong, Wollongong, NSW, Australia

Tastes of ALE: New Articles on Atomic Layer Etching (ALE)

Here is a good overview from LAM Research on their ALE developments and recently published materials / Jonas

Interest in atomic layer etching (ALE) is intensifying as it emerges as an enabling technique for advanced etch applications. As features on a chip continue to decrease in size, the ability to precisely remove materials to create those features becomes increasingly difficult and vitally important. ALE can deliver the level of control needed by using cycles of multi-step processes that remove a few atomic layers at a time, making it useful for creating 3D, high aspect ratio, and other challenging structures requiring extreme precision and fidelity.

Two articles by Lam scientists were recently published on this important topic in prestigious technical journals. The first paper discusses the ability for ALE to be both selective (removing only the desired material without removing other materials) and directional (etch rate higher in the z-direction than in lateral directions) and is included in a special edition on ALE and atomic layer clean. The second paper provides an overview of atomic layer etching and includes a survey of existing literature and discussion of the role of power pulsing. Check out the abstracts below or follow the links to access the complete articles.

Highly Selective Directional Atomic Layer Etching of Silicon

Samantha Tan, Wenbing Yang, Keren J. Kanarik, Thorsten Lill, Vahid Vahedi, Jeff Marks, and Richard A. Gottscho, Lam Research Corp.

Following Moore’s Law, feature dimensions will soon reach dimensions on an atomic scale. For the most advanced structures, conventional plasma etch processes are unable to meet the requirement of atomic scale fidelity. The breakthrough that is needed can be found in atomic layer etching or ALE, where greater control can be achieved by separating out the reaction steps. In this paper, we study selective, directional ALE of silicon using plasma assisted chlorine adsorption, specifically selectivities to bulk silicon oxide as well as thin gate oxide. Possible selectivity mechanisms will be discussed.

Read the full article: ECS J. Solid State Sci. Technol. Vol. 4, Issue 6, N5010-N5012 (2015)

Overview of Atomic Layer Etching in the Semiconductor Industry

Keren J. Kanarik, Thorsten Lill, Eric A. Hudson, Saravanapriyan Sriraman, Samantha Tan, Jeffrey Marks, Vahid Vahedi, and Richard A. Gottscho, Lam Research Corp.

Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

Read the full article: J. Vac. Sci. Technol. A Vol. 33, 020802 (2015)


Schematic of ALE concept: first a modification step (Reaction A) forms a reactive layer, then a removal step (Reaction B) takes off only that modified layer. The steps are cycled until the desired etch result is achieved. (Adapted from JVST A)

Thursday, June 4, 2015

Hamamatsu Licenses Arradiance Nanofilm Technology for ALD-Activated Microchannel Plates

As reported today : Hamamatsu Licenses Arradiance®, Inc. Nanofilm Technology Portfolio for Products Using ALD-Activated Microchannel Plates.


Market dominance of Arradiance nanofilm technology is firmly established with Hamamatsu’s implementation in advanced photodetectors and imaging devices.


SUDBURY, Mass.--(BUSINESS WIRE)--Hamamatsu Photonics K.K., one of the world’s leading producers of photodetectors and imagers today announced that it has licensed certain Arradiance intellectual property for Atomic Layer Deposition (ALD) nanofilms. This revolutionary technology, the subject of 11 issued US patents and several pending US and worldwide patents, is a foundational technology for next generation photomultiplier tubes (PMT) in use at several high energy physics installations worldwide. Photomultiplier tubes are being put to use as high-speed, high-sensitivity optical sensors in an increasingly broad spectrum of applications ranging from medical equipment to industrial fields. Hamamatsu Photonics is renowned for development prowess that provides scientists everywhere with optimized performance, not only in the detection of faint light, ultra-high speed phenomena and other events, but in special applications as well.

“In the field of light-sensitive products, we are constantly striving to improve the basic performance of devices.” said Kenji Suzuki, Managing Director of Hamamatsu Photonics. “At the same time, we also focus on pioneering new markets by developing products that anticipate our customers’ needs. We work unceasingly to expand the market through performance surpassing that of earlier products. In pursuit of these goals, Hamamatsu is pleased to enter into this agreement to gain access to the significant performance advances of Arradiance nanofilms over traditional technologies.”

“We are quite pleased to have Hamamatsu become a licensed partner of Arradiance nanofilm technology. Their use of our intellectual property validates both our market expectations and our significant investment,” explains Ken Stenton, Arradiance CEO. “With our partner, we look forward to mutually realizing the important benefits of this innovation.”

About Hamamatsu Photonics

Hamamatsu believes that the only way to achieve sustainable growth is to maintain our technological advantages. For over 50 years it has been our job and passion to advance photonic technologies. We have established ourselves as the top company of photoelectron conversion technologies in the world.
Learn more at www.hamamatsu.com

About Arradiance

Arradiance, located in Sudbury, Massachusetts, develops functional film technologies that greatly enhance the performance of imaging and detection systems. Their enabling ALD enhanced processes open the door to a new world of flexible, robust, electro-optic systems that will change the way we see our world.
Learn more at www.arradiance.com

Cambridge Nanotech delivers Phoenix G2 Batch ALD system to Japan

Ultratech Cambridge NanoTech today reports that a Major Japanese manufacturer to use their  Phoenix G2 Batch Atomic Layer Deposition system for thin-film deposition on large area substrates. "The ability to deposit batch ALD solutions using ozone instead of H2O opens the door to new opportunities in several markets." Check out my previous blog on using ozon in ALD here.

SAN JOSE, Calif., June 3, 2015 /PRNewswire/ -- Ultratech, Inc. (Nasdaq: UTEK), a leading supplier of lithography, laser­ processing and inspection systems used to manufacture semiconductor devices and high­brightness LEDs (HB­ LEDs), as well as atomic layer deposition (ALD) systems, announced that its Cambridge NanoTech business unit Ultratech-CNT has delivered a new, second-generation production tool, the Phoenix G2 Batch ALD system to a major Japanese manufacturer. The system was purchased for its ability to deposit on multiple large area substrates utilizing a new, high-output oxidant source. Ultratech CNT is at the cutting-edge of nanotechnology thin-film development and leads the field with new innovations and technologies enabling it to meet the high demands of the expanding ALD market.

The company introduced the first Phoenix system in 2008 followed by enhanced models of the system in 2010. The current Phoenix G2 further expands the capabilities of the tool, and offers production-oriented safety and stability features. The Phoenix G2 thermal ALD system is a large format batch tool with flexibility to handle wafers, large planar substrates, and non-planar objects. Multiple operation modes enable manual and automated use of the system, thereby providing the end user the freedom of transitioning from research and development to production in a single platform. As a versatile, thin-film deposition technique, ALD usage is ever increasing, along with its role as an enabler in a broad spectrum of critical technologies, such as microelectronics, lighting and display, energy storage, MEMS, data storage and more. As a result, the Phoenix G2 system speeds the transition from lab-to-fab.

Ultratech Cambridge NanoTech Vice President of Global Sales, ALD Products, Bob Kane, said, "The ability to deposit batch ALD solutions using ozone instead of H2O opens the door to new opportunities in several markets. With new ALD applications emerging every week, the Phoenix G2 is designed for use in any environment from pilot to volume production with industry-leading reliability. As the leading ALD supplier to academic institutions and industrial manufacturers worldwide, Ultratech CNT will continue to develop bridge-tool solutions that implement new technologies and techniques that enable the transition from research to manufacturing environments."


Ultratech CNT's Phoenix G2 Batch ALD System

The Phoenix is engineered for high throughput and maximum uptime in any fabrication environment, from pilot production to industrial-grade manufacturing. Technologists and researchers rely on the Phoenix for repeatable, highly-accurate film deposition on flat and 3-D substrates alike. And with support for up to six individual precursor lines, the Phoenix delivers solid, liquid, or gaseous process chemistries depending on the thin film needs. The precise software control of process parameters, including temperature, flow and pressure, provide defect-free coatings on the most sensitive substrates. A compact footprint and innovative design, plus numerous automation options, makes Phoenix G2 system the practical choice for those with batch production ALD requirements. 



Wednesday, June 3, 2015

Nanopipettes for DNA detection fine tuned by ALD

Joshua Edel and his group at Imperial College London has been developing Nano pipettes [On-Demand Delivery of Single DNA Molecules Using Nanopipets]. Now they have gone a step further to fine tune the pipette for DNA dellivery and detection among other interesting things by using ALD.

Background on the group of Joshua Edel 


Analytical Sensors plays a crucial role in today’s highly demanding exploration and development of new detection strategies. Whether it be medicine, biochemistry, bioengineering, or analytical chemistry the goals are essentially the same: 

1) improve sensitivity
2) maximize throughput
3) and reduce the instrumental footprint. 

In order to address these key challenges, the analytical community has borrowed technologies and design philosophies which has been used by the semiconductor industry over the past 20 years. By doing so, key technological advances have been made which include the miniaturization of sensors and signal processing components which allows for the efficient detection of nanoscale object. One can imagine that by decreasing the dimensions of a sensor to a scale similar to that of a nanoscale object, the ultimate in sensitivity can potentially be achieved - the detection of single molecules.

Research activities within the Edel group runs at the interface between chemistry, chemical biology, physics, and medicine in order to improve and develop new classes of sensors based on these principles. As such expertise within our group includes an array of techniques and methods which includes micro and nanofabrication, material processing, surface modification chemistries, semiconductor processing and characterization techniques, confocal microscopy, plasmonics, surface enhanced Raman spectroscopy, and single molecule techniques using both optical and electrical methods.

Fine Tuning of Nanopipettes Using Atomic Layer Deposition for Single Molecule Sensing 

Jasmine Sze, Shailabh Kumar, Aleksandar P Ivanov, SAng-Hyun Oh and Joshua Edel 
Analyst, 2015,
Published online 03 Jun 2015 

 Nanopipettes are an attractive single-molecule tool for identification and characterisation of nucleic acids and proteins in solutions. They enable label-free analysis and reveal individual molecular properties, which are generally masked by ensemble averaging. Having control over the pore dimensions is vital to ensure that the dimensions of the molecules being probed match that of the pore for optimization of the signal to noise. Although nanopipettes are simple and easy to fabricate, challenges exist, especially when compared to more conventional solid-state analogues. For example, a sub-20 nm pore diameter can be difficult to fabricate and the batch-to-batch reproducibility is often poor. To improve on this limitation, atomic layer deposition (ALD) is used to deposit ultrathin layers of alumina (Al2O3) on the surface of the quartz nanopipettes enabling sub-nm tuning of the pore dimensions. Here, Al2O3 with a thickness of 8, 14 and 17 nm was deposited onto pipettes with a starting pore diameter of 75 ± 5 nm whilst a second batch had 5 and 8 nm Al2O3 deposited with a starting pore diameter of 25 ± 3 nm respectively. This highly conformal process coats both the inner and outer surfaces of pipettes and resulted in the fabrication of pore diameters as low as 7.5 nm. We show that Al2O3 modified pores do not interfere with the sensing ability of the nanopipettes and can be used for high signal-to-noise DNA detection. ALD provides a quick and efficient (batch processing) for fine-tuning nanopipettes for a broad range of applications including the detection of small biomolecules or DNA-protein interactions at the single molecule level.

Graphene oxide monolayers as atomically thin seeding layers for ALD of metal oxides

A international team of researchers from imec, MIT, BTU Cottbus and Samsung Advanced Institute of Technology have explored graphene oxide as an atomically-thin transferable seed layer for the atomic layer deposition (ALD) of dielectric materials on any substrate of choice!

Graphene oxide monolayers as atomically thin seeding layers for atomic layer deposition of metal oxides 

Amirhasan Nourbakhsh, Christoph Adelmann, Yi Song, Chang Seung Lee, Inge Asselberghs, Cedric Huyghebaert, Simone Brizzi, Massimo Tallarida, Dieter Schmeißer, Sven Van Elshocht, Marc Heyns, Jing Kong, Tomás Palacios and Stefan De Gendt 

Nanoscale, 2015, Advance Article DOI: 10.1039/C5NR01128K 
Published online 03 Jun 2015



Graphene oxide (GO) was explored as an atomically-thin transferable seed layer for the atomic layer deposition (ALD) of dielectric materials on any substrate of choice. This approach does not require specific chemical groups on the target surface to initiate ALD. This establishes GO as a unique interface which enables the growth of dielectric materials on a wide range of substrate materials and opens up numerous prospects for applications. In this work, a mild oxygen plasma treatment was used to oxidize graphene monolayers with well-controlled and tunable density of epoxide functional groups. This was confirmed by synchrotron-radiation photoelectron spectroscopy. In addition, density functional theory calculations were carried out on representative epoxidized graphene monolayer models to correlate the capacitive properties of GO with its electronic structure. Capacitance–voltage measurements showed that the capacitive behavior of Al2O3/GO depends on the oxidation level of GO. Finally, GO was successfully used as an ALD seed layer for the deposition of Al2O3 on chemically inert single layer graphene, resulting in high performance top-gated field-effect transistors.

Fujifilm and imec demonstrate full-color OLED with photoresist technology for organic semiconductors

FUJIFILM Corporation (President: Shigehiro Nakajima) (hereafter, “Fujifilm”) and nano-electronics research institute, imec (CEO: Luc Van den hove), have demonstrated full-color organic light-emitting diodes (OLED)*1 by using their jointly-developed photoresist technology*2 for organic semiconductors, a technology that enables submicron*3 patterning. This breakthrough result paves the way to producing high-resolution and large organic Electroluminescent (EL) displays and establishing cost-competitive manufacturing methods.


Organic EL displays are increasingly used for televisions, mobile devices including smartphones as well as wearable devices. Since they can be made thin and flexible, while also offering excellent response time and contrast ratio. It is said that today’s products require organic EL displays of high pixel density, i.e. around 200ppi*4 for 4K televisions, 500ppi for full HD mobile devices and even higher density for compact displays for wearable devices. There has been active R&D for organic semiconductors to develop a high-resolution patterning method for organic EL materials to be used in these products. 



In 2013, Fujifilm and imec jointly developed photoresist technology for organic semiconductors that enables submicron patterning without damaging the organic semiconductor materials, based on photolithography*5 capable of high-resolution patterning on large substrates. There is no need for additional capital investment since an existing i-line exposure system can be used for the new technology. This is why the technology has attracted wide attention since the development announcement with anticipation of a cost-effective way of manufacturing high-resolution organic semiconductor devices.

In the latest achievement, Fujifilm and imec produced full-color OLEDs with the photoresist technology for organic semiconductors and successfully verified their performance. Red, green and blue organic EL materials were patterned, each in the subpixel pitch of 20μm*6, to create full-color OLEDs. An OLED array of 40 x 40 dots at the resolution of 640ppi was realized and illuminated with UV rays to confirm that red, green and blue dots separately emitted light. The emission of red, green and blue lights was also confirmed in a test involving the application of voltage rather than illumination, confirming its correct performance.

These results open new opportunities, such as using the novel photolithography in a multiple patterning process. An example would be creating an OLED array that adds a fourth color to red, green and blue, as well as developing previously-unseen devices such as a new sensors that integrate OLED with the organic photodetector*7.

This research result is to be presented at the SID Display Week, one of the world’s largest international exhibitions for information displays, held in San Jose, California from May 31 to June 5, 2015.

Since the commencement of joint research in November 2012, Fujifilm and imec have broken through the boundary of conventional technology to contribute to the progress of technology associated with organic semiconductors, e.g., developing the photoresist technology for organic semiconductors that enables the realization of high-resolution submicron patterns. The two companies will continue to undertake cutting-edge R&D involving semiconductor materials, process technology and system integration, thereby contributing to resolving challenges faced by the organic electronics industry.

Tuesday, June 2, 2015

Search Your Next ALD Tool at mynexttool

Compare Scientific Instruments on mynexttool from Berlin, Germany. Mynexttool is a free-accessible scientific instruments database. Anyone can submit scientific instruments and help scientists to search and compare them easily. 

So far 24 ALD Tools are listed but the amount of information available is very basic - So www.ALDPulse.com is still the main source for comprehensive information on R&D ALD Tools on the market today.






PhD Position in Ultra Fast Atomic Layer Deposition in South of France

Trainee (PhD Student) Ultra Fast Atomic Layer Deposition of Nano-architectured materials for the energy transition

A Trainee (PhD student) Position is available at the Center for Interdisciplinary Nanoscience of Marseille (CINaM, http://www.cinam.univ-mrs.fr), UMR CNRS 7325 from Aix-Marseille University, France. The work will be performed in close collaboration with Encapsulix SAS. (http://www.encapsulix.com). The subject of the research is the creation of nano-engineered materials using Encapsulix parallel precursor wave ultrafast Atomic Layer Deposition technology. The goal of this multidisciplinary research is to provide the scientific basis of advanced functional and protective coating technology to be applied in a variety of energy related large area electronic devices such as photovoltaics, thin film batteries and ultracapacitors, low power OLED lighting, smart windows, etc. 
 

The work will encompass deposition of: 

  • Multifunctional Nano engineered Ultrabarriers : Single material (i. e. Al2O3) ultrabarriers have been shown to protect effectively against degradation of electronic components such as OLED’s and photovoltaic cells by moisture from the atmosphere, leading to significant increase in useful lifetime. The next step is the use of nano-engineered multilayers (such as (Al2O3/TiO2), (Al2O3/ZrO2) nanolaminates; ZnO/Al2O3/ZnO , sandwiches, etc.) optimized for protection against a variety of external sources of degradation such as charged Sodium diffusion, Ultraviolet light, salt water, etc. 
  • Complex substrate topographies: A unique feature of the ALD method is its ability to conformally cover complex substrate topographies such as high aspect ratio cavities and or re-entrant features. Such functionalized nano-structures will be used for energy storage and production purposes (Batteries, photo-electrochemical devices…).
The position is co-funded by the Région Provence Alpes Côte D’Azur and Encapsulix Personal Specification Applicants should, by the start date, hold a masters 2 degree (or equivalent) in physics, chemistry or materials science, chemical or electrical engineering, or a related discipline. An experience with operation of thin film deposition (in particular CVD or ALD) or characterization (e.g. TEM, AFM, XRD,...) equipment is useful. Candidates should demonstrate enthusiasm for pre-industrial research, and an interest in this particular field. They should be willing to take ownership of their part of the project and on-time delivery of its deliverables. They should demonstrate world class technical skills and excellent presentation ease. They should feel comfortable to work together with both academics and industrial partners. Location The work will be performed at two locations within the metropolitan area of Marseille - Aix en Provence in the South of France: The CINaM laboratory at the Luminy campus and the Encapsulix development Laboratory in Gardanne. Marseille and the Provence region benefit from a Mediterranean climate with year-long mild temperatures. In recent years, the region has developed a vibrant high tech industry in the fields of microelectronics, aerospace, advanced optics and renewable energies. Appointment and Starting Date

This position offers full-time employment for 36 month. The starting date should be October 1st, 2015 at the earliest. 

Information and Application

For more information on the position, please contact Lionel Santinacci (lionel.santinacci@univ-amu.fr ) or Jacques Kools (jkools@encapsulix.com). 
 
To apply, please send an application letter, along with curriculum vitae with the names of two referees who can be contacted, to us before July 15, 2015.






Roll-to-Roll CVD manufacturing of graphene

New manufacturing process could take exotic material out of the lab and into commercial products

That could finally change with a new process described this week in the journal Scientific Reports by researchers at MIT and the University of Michigan. MIT mechanical engineering Associate Professor A. John Hart, the paper’s senior author, says the new roll-to-roll manufacturing process described by his team addresses the fact that for many proposed applications of graphene and other 2-D materials to be practical, “you’re going to need to make acres of it, repeatedly and in a cost-effective manner.”


Diagram of the roll-to-roll process (a) shows the arrangement of copper spools at each end of the processing tube, and how a ribbon of thin copper substrate is wound around the central tube. Cross-section view of the same setup (b) shows the gap between two tubes, where the chemical vapor deposition process occurs. Photos of the system being tested show (c) the overall system, with an arrow indicating the direction the ribbon is moving; (d) a closeup of the copper ribbon inside the apparatus, showing the holes where chemical vapor is injected; and (e) an overhead view of the copper foil passing through the system (MIT News).


The new process is an adaptation of a chemical vapor deposition method already used at MIT and elsewhere to make graphene — using a small vacuum chamber into which a vapor containing carbon reacts on a horizontal substrate, such as a copper foil. The new system uses a similar vapor chemistry, but the chamber is in the form of two concentric tubes, one inside the other, and the substrate is a thin ribbon of copper that slides smoothly over the inner tube.

Gases flow into the tubes and are released through precisely placed holes, allowing for the substrate to be exposed to two mixtures of gases sequentially. The first region is called an annealing region, used to prepare the surface of the substrate; the second region is the growth zone, where the graphene is formed on the ribbon. The chamber is heated to approximately 1,000 degrees Celsius to perform the reaction.

The researchers have designed and built a lab-scale version of the system, and found that when the ribbon is moved through at a rate of 25 millimeters (1 inch) per minute, a very uniform, high-quality single layer of graphene is created. When rolled 20 times faster, it still produces a coating, but the graphene is of lower quality, with more defects.

Eureka moments in Nanochemistry – 2015 Centenary Award, Professor Geoffrey Ozin

Here is a fantastic article on Nanochemistry published in Materials Views - Eureka moments in Nanochemistry – 2015 Centenary Award


This article is an invited piece from Professor Geoffrey Ozin, University of Toronto, on his 2015 RSC Centenary Award for his work in defining, enabling and popularising a chemical approach to nanomaterials for innovative nanotechnology in advanced materials and biomedical science.


"In this Perspective I will look back over my careers work and reminisce, with the help of a few graphical depictions, about the “eureka moments” that led me to imagine and help develop the field of Nanochemistry. "

1, 2, 3, 4, 5, 6, 7 - ALD!

7. Multi-photon direct laser written (DLW) photonic bandgap nanomaterials

"In collaboration with colleagues at the Karlsruhe Institute of Technology, I used this nanofabrication method to invert a DLW polymer template in silica by atomic layer deposition. This enabled a subsequent inversion in silicon by disilane chemical vapor deposition, creating thereby a silicon replica of the original polymer template (Nature Materials 2006). Silicon photonic bandgap nanomaterials created by this inventive ‘double inversion’ method facilitate the development of silicon-based all-optical devices, circuits and chips with utility in optical telecommunication and computer systems. I spearheaded a creative extension of this work with single-step DLW in a high refractive index ‘inorganic’ photo-resist, arsenic sesquisulphide, As2S3. This opened the door to a large variety of new photonic bandgap materials and architectures that can be made by DLW without inversion of a sacrificial polymer template (ChemMater 2008)."



Monday, June 1, 2015

Centre for Process Innovation pilots Beneq’s breakthrough roll-to-roll ALD system for moisture barrier films

The UK-based Centre for Process Innovation is piloting Beneq’s groundbreaking roll-to-roll ALD system. Acquired in 2014 as CPI’s thin-film moisture barrier film development platform, the piloting activity is paving the way to produce ultra barrier films at significantly lower cost for applications in flexible PV, OLED and quantum dot films.
 

Broadening the reach of ALD

Spearheading new ALD technology development since 2005, Beneq has introduced to the market the world’s first commercial roll-to-roll (R2R) ALD-based system. The equipment, the Web Coating System WCS 600, enables continuous processing of flexible substrates in order to lower the manufacturing costs of ultra-barrier films. The equipment was commissioned at CPI’s NETPark facilities in Sedgefield, North East England in the summer of 2014 and has been successfully in operation since then.

CPI’s successful piloting of Beneq’s technology demonstrates its great potential in meeting the growing demand for barrier films used in flexible photovoltaics – including CIGS, DSSC and OPV solar cells, flexible OLED displays, quantum dot films for LCD and packaging applications. Moreover, collaborating with CPI, Beneq sees a valuable opportunity to further develop and accelerate the market acceptance of this disruptive and far-reaching technology, making it accessible to numerous industries.

 
CPI has been running their Beneq WCS 600 for R2R ALD in its NETPark facilities in Sedgefield, in North East England since 2014.
According to the CPI’s Vacuum Coating Technology Specialist, Dr Alf Smith, the results have proved to be very encouraging after seven months of using the unit. “We have been pleased to make progress so quickly, with the tool working well with only a few small issues to deal with. Barrier performance has been excellent at the lower line speeds we started at and have progressed now to higher line speeds while retaining the barrier performance. We have some way to go to meet our ultimate targets, but we see every possibility of reaching them in the coming months. Our intention is to continue optimizing the barrier performance as well as the productivity of it, while investigating the additional requirements for obtaining robust final products for both barrier films and directly encapsulated flexible devices.” says Dr Alf Smith.

International multidisciplinary interest

Some of the major CPI’s projects that involve the technology include the ongoing R2R-CIGS, a pan-European project for developing cost-effective R2R processes for continuous, high-volume manufacturing of flexible solar modules, and NanoMend, a project aimed at pioneering novel technologies for in-line detection, cleaning and repair of micro- and nano-scale defects on thin films deposited on large-area substrates.

Thin films produced using the ALD method are cost-efficient, pinhole–free and completely conformal, thus providing superior barriers and surface passivation. These properties make them ideal for numerous kinds of critical applications in flexible thin films. As the cost of conventional multilayer barrier films is typically prohibitively high, a thin layer of inorganic barrier film produced using R2R ALD technology provides a feasible low-cost solution.

 

Beneq’s WCS 600 enables continuous spatial application of pinhole-free and completely conformal thin films, such as moisture barriers, on flexible substrates.


Dr Mikko Söderlund, Head of Thin Film Encapsulation Solutions at Beneq, comments the work done and results achieved at CPI: “We are pleased to have had our R2R concept accepted and the equipment validated by such an esteemed player as the CPI. We are equally excited to witness the commitment by CPI to work with the technology, and of the promising initial results demonstrated after just seven months of running the WCS 600 system. CPI’s pioneering work is of great value to the industry, and many companies interested in the technology have already taken the opportunity to visit CPI to get a first-hand impression. I am confident that CPI’s ALD expertise will accelerate the adoption of this disruptive barrier technology.

Picosun Summer ALD News

Well in time for the AVS ALD 2015 International Confernce in Portland USA, Picosun releases Summer News 2015. The magazine is available online with some great stories and news and can be downloaded here and the main headlines you can see below: 


Picosun’s leading vacuum batch options win more market in MEMS, LED, and III-V
manufacturing

MEMS, LEDs, and other III-V compound semiconductor devices are central market segments for Picosun’s production ALD technology. In order to maintain the spearheading position as the solutions provider to these manufacturers, Picosun has built an extensive range of automatic vacuum batch sample handling systems optimized for the requirements of the above mentioned industries. Two examples are shown below, the PICOPLATFORM™ vacuum cluster system and the PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism


Versatile, multifunctional, high throughput PICOPLATFORM™ vacuum cluster systems have been a solid success since their launch. The substrate handling system of the PICOPLATFORM™ tool is based on Brooks MX™- or Marathon™ -series vacuum robot clustering units.


The PICOSUN™ P-300 Pro reactor equipped with the batch flipping mechanism is optimal for e.g. MEMS manufacturing line, where the most part of the processing happens in horizontal geometry, in contrast to the vertical position required for the wafers in the ALD reactor. The flipping system picks the wafers from the loading cassette one by one and flips them into vertical position in the wafer holder for the ALD process step. During the unloading step, the wafers are again flipped back to horizontal position in the receiving cassette. Currently, the flipping system is optimized for handling a cassette of 25 pcs of 200 mm wafers.

Picosun’s Asian expansion continues in Taiwan

Picosun and National Chiao Tung University (NCTU) have established a Joint Industrial ALD Research Laboratory at the premises of NCTU’s X-Photonics Interdisciplinary Center in Hsinchu City, Taiwan.

 
The signing ceremony of the Joint Industrial ALD Research Laboratory. From left to right: ATOM SEMICON Vice President Mr. Bob Lin; CEO of Picosun Asia Pte. Ltd. and Applications Director of Picosun Oy, Dr. Wei-Min Li; Vice President for Research and Development of NCTU, Prof. Edward-Yi Chang; Chairman of the Board and CEO of Picosun Oy, Mr. Kustaa Poutiainen; Secretary General of NCTU, Prof. Hsin-Tien Chiu; and Associate Vice President, Office of International Affairs and Distinguished Professor, Department of Photonics and Institute of Electro-optical Engineering, Prof. Hao-Chung Kuo.

Large scale powder ALD enabled with POCA™ 300 and Picovibe™ technologies from Picosun

Following the recent news of Swedish Nanexa using ALD to coat medically active particles for precise drug delivery (PharmaShell® is a completely new drug delivery system) it is interesting to see that Picosun also offers a full scale production unit through the POCA and Picovibe technologies.


Picosun’s POCA™ 300 powder coating system allows ALD processing of large powder batches utilizing the company’s industry-standard PICOSUN™ P-300 reactor design.

Picosun extends ALD solutions portfolio for mechanical 3D part protection 

The unmatched quality of the ALD films and the ALD method’s ability to cover reliably and uniformly even the tiniest surface details make it an ideal technique for protective surface treatments for macroscopic 3D objects. Picosun’s production-proven ALD technology already enables anti-tarnish and decorative coatings on coins, watches, and jewelry parts, offering totally new, improved, environmentally friendly, and cost-efficient alternative to traditional surface protection methods. Now, the solutions portfolio has been extended to yet new product categories such as printed circuit boards (PCBs), medical implants, and, as an example of heavier machinery, engine, pump, and compressor parts.


Picosun’s production-proven ALD coating solutions are already in use in medical implant manufacturing. ALD is an ideal method for bioactive surface functionalization of titanium alloy dental implants, metal parts of artificial hips, and other implantable surgical devices, for improved patient safety and longer lifetime of the devic

Customer interviews:

  • Prof. Hao-Chung Kuo, National Chiao Tung University, Taiwan
  • Dr. Giuliana Impellizzeri, National Research Council, Catania, Italy
  • Dr. Jonas Sundqvist, Lund University, Sweden

Applications of Metal Cyclopentadienyl CVD and ALD Precursors by STREM

Here is a backgound blog by STREM in AZO Nano on why and when to use metal cyclopentadienyl precursors for CVD and ALD.



Applications of Metal Cyclopentadienyl Precursors

Cyclopentadientyl precursors have been used for the deposition via ALD of electroluminescent (EL) SrS and BaS thin films doped with Cu, Ce, Pb, Mn, or Eu.


The study results show that the use of the cyclopentadienyl-based dopants may lead to improvements in the performance of EL devices. Depositing noble metal films using ALD to be used in magnetic recording media and integrated circuits has been achieved using Cp complexes, such as Ru(EtCp)2, as precursors. Separately, ZrO2 thin films have been grown at 350ºC on silicon (100) substrates via ALD using (CpMe)2ZrMe2 and (CpMe)2Zr(OMe)Me with ozone as the oxygen source, causing deposition of highly conforming films onto high aspect ratio trenches.

HfO2 thin films with good dielectric properties have also been deposited onto p-Si(100) substrates through ALD using Cp2Hf(CH3)2 and water. Ultra-thin films of hafnium and zirconium oxides are considered to have the greatest potential to replace SiO2 as high-k gate oxides as semiconductor technology moves to 45 nm technology.

Sunday, May 31, 2015

Photoelectrochemical (PEC) water splitting technology using active ALD layers for enhanced performance

Researchers from Japan, including Fujifilm Corporation, has investigated how thin ALD NiO enhance the performance of  photoelectrochemical (PEC) devices that can be used in future water splitting plants scalable production of renewable hydrogen fuels. To do so they used a BENEQ TSF reactor for deposition of the NiOx layer. There findings were published in Journal of American Chemical Society recently online (abstract below). The conclusion was that depositing NiO on the surfaces of CoOx/BiVO4 electrodes by ALD using the Beneq TFS 200 system enhanced the performance (higher current density at lower potential) for the PEC devices.


A look inside a Beneq TFS 200 reactor (www.beneq.com)

Surface Modification of CoOx Loaded BiVO4 Photoanodes with Ultrathin p-Type NiO Layers for Improved Solar Water Oxidation

Miao Zhong, Takashi Hisatomi, Yongbo Kuang, Jiao Zhao, Min Liu, Akihide Iwase, Qingxin Jia, Hiroshi Nishiyama, Tsutomu Minegishi, Mamiko Nakabayashi, Naoya Shibata, Ryo Niishiro, Chisato Katayama, Hidetaka Shibano, Masao Katayama, Akihiko Kudo, Taro Yamada, and Kazunari Domen

J. Am. Chem. Soc., 2015, 137 (15), pp 5053–5060 DOI: 10.1021/jacs.5b00256 Publication Date (Web): March 24, 2015






Depositing NiO on the surfaces of CoOx/BiVO4electrodes by atomic layer deposition (ALD) using the Beneq TFS 200 system enhanced the performance (higher current density at lower potential) for the PEC devices.

Photoelectrochemical (PEC) devices that use semiconductors to absorb solar light for water splitting offer a promising way toward the future scalable production of renewable hydrogen fuels. However, the charge recombination in the photoanode/electrolyte (solid/liquid) junction is a major energy loss and hampers the PEC performance from being efficient. Here, we show that this problem is addressed by the conformal deposition of an ultrathin p-type NiO layer on the photoanode to create a buried p/n junction as well as to reduce the charge recombination at the surface trapping states for the enlarged surface band bending. Further, the in situ formed hydroxyl-rich and hydroxyl-ion-permeable NiOOH enables the dual catalysts of CoOx and NiOOH for the improved water oxidation activity. Compared to the CoOx loaded BiVO4(CoOx/BiVO4) photoanode, the ∼6 nm NiO deposited NiO/CoOx/BiVO4 photoanode triples the photocurrent density at 0.6 VRHE under AM 1.5G illumination and enables a 1.5% half-cell solar-to-hydrogen efficiency. Stoichiometric oxygen and hydrogen are generated with Faraday efficiency of unity over 12 h. This strategy could be applied to other narrow band gap semiconducting photoanodes toward the low-cost solar fuel generation devices.

Epitaxial growth of GaN nanowires on metallic TiN by Paul-Drude-Institut, Berlin

Paul-Drude-Institut für Festkörperelektronik in Berlin has recently published a paper (below) on how to grow GaN Nanowires on TiN. From a silicon based semiconductor device perspective this is very interesting results since TiN can be used to make ohmic contact to silicon. For instance the is used in most DRAM Capacitor cells today where the word line is connected by a TiN/Ti/TiSi/Si ohmic contact. This technology was invented by Qimonda - The buried Word Line technology that was introduced at 65 nm and has been transferred to many other companies since then (Winbond, Micron, Elpida, ...). Or as the researchers at the Paul-Drude-Institut states:  

"The freedom to employ metallic substrates for the epitaxial growth of semiconductor nanowires in high structural quality may enable novel applications that benefit from the associated high thermal and electrical conductivity as well as optical reflectivity."


Epitaxial Growth of GaN Nanowires with High Structural Perfection on a Metallic TiN Film 

M. Wölz , C. Hauswald , T. Flissikowski , T. Gotschke , S. Fernández-Garrido , O. Brandt , H. T. Grahn , L. Geelhaar *, and H. Riechert 
Nano Lett., Article ASAP DOI: 10.1021/acs.nanolett.5b00251 
Publication Date (Web): May 22, 2015


Vertical GaN nanowires are grown in a self-induced way on a sputtered Ti film by plasma-assisted molecular beam epitaxy. Both in situ electron diffraction and ex situ ellipsometry show that Ti is converted to TiN upon exposure of the surface to the N plasma. In addition, the ellipsometric data demonstrate this TiN film to be metallic. The diffraction data evidence that the GaN nanowires have a strict epitaxial relationship to this film. Photoluminescence spectroscopy of the GaN nanowires shows excitonic transitions virtually identical in spectral position, line width, and decay time to those of state-of-the-art GaN nanowires grown on Si. Therefore, the crystalline quality of the GaN nanowires grown on metallic TiN and on Si is equivalent. The freedom to employ metallic substrates for the epitaxial growth of semiconductor nanowires in high structural quality may enable novel applications that benefit from the associated high thermal and electrical conductivity as well as optical reflectivity.

ALD processing using HF to grow AlF3

Here is a interesting paper from Steven George in on growing AlF3 using HF. I haven´t seen anyone growing ALD films with HF before and usually you think of etching or surface hydrogen termination and growth passivation when HF is employed. As usual a lot of QCM growth characterization is used by the Boulder guys. The authors states that AlF3 ALD may be useful for a number of applications such as ultraviolet optical films, protective coatings for the electrodes of Li ion batteries and Lewis acid catalytic films.


Atomic Layer Deposition of AlF3 Using Trimethylaluminum and Hydrogen Fluoride 

Younghee Lee , Jaime W. DuMont , Andrew S. Cavanagh , and Steven M. George
J. Phys. Chem. C, Just
Accepted Manuscript
DOI: 10.1021/acs.jpcc.5b02625 
Publication Date (Web): May 27, 2015

The atomic layer deposition (ALD) of AlF3 was demonstrated using trimethylaluminum (TMA) and hydrogen fluoride (HF). The HF source was HF-pyridine. In situ quartz crystal microbalance (QCM), quadrupole mass spectrometer (QMS) and Fourier transform infrared (FTIR) spectroscopy measurements were used to study AlF3 ALD. The AlF3 ALD film growth was examined at temperatures from 75C to 300C. Both the TMA and HF reactions displayed self-limiting behavior. The maximum mass gain per cycle (MGPC) of 44 ng/(cm2 cycle) for AlF3 ALD occurred at 100C. The MGPC values decreased at higher temperatures. The MGPC values were negative at T> 250C when TMA and HF were able to etch the AlF3 films. Film thicknesses were also determined using ex situ X-ray reflectivity (XRR) and spectroscopic ellipsometry (SE) measurements. The AlF3 ALD growth rate determined by the ex situ analysis was 1.43 Å/cycle at 100C. These ex situ measurements were in excellent agreement with the in situ QCM measurements. FTIR analysis monitored the growth of infrared absorbance from Al-F stretching vibrations at 500-800 cm-1 during AlF3 ALD. In addition, absorption peaks were observed that were consistent with AlF(CH3)2 and HF species on the surface after the TMA and HF exposures, respectively. X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) measurements revealed that the deposited films were nearly stoichiometric AlF3 with an oxygen impurity of only ~2 at%. AlF3 ALD may be useful for a number of applications such as ultraviolet optical films, protective coatings for the electrodes of Li ion batteries and Lewis acid catalytic films.

Saturday, May 30, 2015

Hydrophobic graphene coating could make power plants more efficient

Product Design & Development reports that a team of researchers at MIT has developed a way of coating condenser surfaces with a layer of graphene, just one atom thick, and found that this can improve the rate of heat transfer by a factor of four — and potentially even more than that, with further work. And unlike polymer coatings, the graphene coatings have proven to be highly durable in laboratory tests.


An uncoated copper condenser tube (top left) is shown next to a similar tube coated with graphene (top right). When exposed to water vapor at 100 degrees Celsius, the uncoated tube produces an inefficient water film (bottom left), while the coated shows the more desirable dropwise condensation (bottom right). Picture from www.pddnet.com - Courtesy of the researchers

The findings are reported in the journal Nano Letters by MIT graduate student Daniel Preston, professors Evelyn Wang and Jing Kong, and two others. The improvement in condenser heat transfer, which is just one step in the power-production cycle, could lead to an overall improvement in power plant efficiency of 2 to 3 percent based on figures from the Electric Power Research Institute, Preston says — enough to make a significant dent in global carbon emissions, since such plants represent the vast majority of the world’s electricity generation. “That translates into millions of dollars per power plant per year,” he explains.

Extremely thin hydrophobic coating is also obviously an open field for clever ALD solutions. Here is a recent report on conventional hydrophobic coating technologies from Vanderbilt University taking a    closer look at the US market.





The history of hydrophobic coating technologies