Saturday, October 3, 2015

TECHCET -Dielectric Precursors – NEW – Just Released!

The Dielectric Precursors Report provide detailed market, supply chain and technology trend information required for anyone developing strategy for their business, be it a materials supplier or semiconductor chip manufacturer. Precursor types include, gapfill, ILD, low K, and multi-patterning.



Click here for full table of contents:


Workshop Simulation of chemistry-driven growth phenomena for metastable materials

CECAM/Psi-k/HERALD Workshop
Simulation of chemistry-driven growth phenomena for metastable materials


The controlled growth of thin films based on metastable materials by chemistry-driven processes is of high technological importance for topics like semiconductor devices or optical coatings. Computational modelling of this inherently multiscale process is crucial for an atomistic understanding and enables a decoupling and separate optimization of the growth-determining factors of non-equilibrium materials. This workshop will result in a joint effort by experts from different modelling communities covering the necessary length and time scales.
The workshop will be held at

nearby Marburg in Germany from November 08-11, 2015.
 

Organizers

CECAM Psi-k HERALD
   

Sponsors

GRK 1782 ESFDock/Chemicals
 

ALD, ALE and exciting nanoelectronic materials research at SEMICON Europa, 6-8 October, Dresden

SEMICON Europa this year has turned out to be a major event for ALD and exciting nanoelectronic materials research. Here are I have have highlighted some of the events with respect to this.



Columbus, Tuesday Oct 6, 13:45, ALD / ALE Sympoium of The ALD Lab Dresden

09:00WelcomeOrganized by:



Supported by:

cost logo



  

Prof. Johann W. Bartha, TU Dresden

 09:15In situ monitoring of Atomic Layer Deposition in porous materials

Martin Knaut, TU Dresden

 09:40Passivation of MEMS by Atomic Layer Deposition

Matthias Schwille, Robert Bosch

 10:05Growth Monitoring by XPS and LEIS Investigations of Ultrathin Copper Films Deposited by Atomic Layer Deposition

Dileep Dhakal, TU Chemnitz/FhG ENAS

 10:30High-k dielectrics by ALD for BEOL compatible MIM

Wenke Weinreich, FhG IPMS-CNT


 10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
Mario Krug, FhG IKTS


 11:20ALD for solar cell application
Ingo Dirnstorfer, NaMLab


 11:45Plasma enhanced ALD process for TiO2- and WO3- films

Alexander Strobel, FH Zwickau


 12:10Lunch Break (Conversation, Networking, Finger food)


 13:00Why do we need Atomic Layer Etching

Jonas Sundqvist, Lund University/TU Dresden


 13:25Spatial Atomic Layer Deposition and Atomic Layer Etching

Prof. Fred Roozeboom, TU Eindhoven / TNO Eindhoven


 13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?

Harm Knoops, Oxford Instruments/TU Eindhoven


 14:15Hardmask and side wall protection during dry etching with plasma enhanced deposition during dry etching for ALE purposes

Stephan Wege, Plasway


 14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation

Jacques Kools, Encapsulix


 15:05Closing Remarks / Wrap Up

Prof. Johann W. Bartha, TU Dresden


 15:10End

TECH ARENA, Tuesday Oct 6, 13:45- Emerging Research, Materials and Processes Session

Potential Solutions to Semiconductor Industry’s Challenges



Chair Hessel Sprey, Manager cooperative programs and university contacts, ASM International
13:45 Introduction
13:50
Next Generation Ferroelectric Field Effect Transistors enabled by Ferroelectric Hafnium Oxide
Thomas Mikolajick, scientific director, NaMLab Gmbh / TU Dresden
14:15
Large diameter GaN-on-Si epiwafers for Power Switching and RF Power electronics with enhanced efficiency
Marianne Germain, CEO, EpiGaN nv
14:40
Prospects of Emerging 2D Transition Metal Films for Applications in Electronics
Georg Duesberg, PI, CRANN, Trinity College Dublin
15:05
Monolayer controlled deposition of 2D transition metal dichalcogenides on large area substrates
Annelies Delabie, Professor, Imec
15:30
Selective Deposition as Enabler for Shrinking Device Dimensions
Suvi Haukka, Executive Scientist, ASM Microchemistry Ltd.
15:55
MOFs as Low-k Candidates for Future Technology Nodes
Christof Wöll, Director, KIT
16:20
Spin-based nanoelectronic devices for mobile Informaion-Communication Technology
Alina Deac, Group Leader, Helmholtz-Zentrum Dresden - Rossendorf
16:55 Closing Remarks

ARENA 2: Tuesday, 6 October 2015, Best of Advanced Process Control (APC)

12:25   In-Situ process control for Atomic Layer Deposition (ALD)
Johann W. Bartha, TU Dresden

IBM Research showcases Carbon Nanotubes (CNT) down to 9nm contact

Here is A breakthrough news from IBM Watson Research Center on integrating CNTs down to 9nm contacts. This section from a recent interview with one of the researchers, Shu-Jen Han, behind this work taken from The IBM Research Blog:


Silicon has offered many advantages as a transistor material for the last half century. One biggest perhaps was that it forms a great gate dielectric – SiO2. It also comes with a very pure and high quality substrate, silicon wafers, to start with. And over time we’ve used other materials and device structures to improve its abilities, such as transitioning to high-k metal gate transistors and FinFETs.

On the other hand, for carbonnanotubes, many material issues have to be solved to obtain similar high-quality carbon nanotube wafers for device fabrication. We can’t switch to an entirely new material over night, but silicon is reaching its scaling limits.
 
 
Dr. Qing Cao and my other teammates at [the IBM Watson Research Center] developed a way, at the atomic level, to weld - or bond – the metal molybdenum to the carbon nanotubes' ends, forming carbide. Previously, we could only place a metal directly on top of the entire nanotube. The resistance was too great to use the transistor once we reached about 20 nm. But welding the metal at the nanotubes' ends, or end-bonded contacts, is a unique feature for carbon nanotubes due to its 1-D structure, and reduced the resistance down to 9 nm contacts. Key to the breakthrough was shrinking the size of the contacts without increasing electrical resistance, which impedes performance. Until now, decreasing the size of device contacts caused a commensurate drop in performance.

For full details on this breakthrough research please see a recently published article in Science:

End-bonded contacts for carbon nanotube transistors with low, size-independent resistance

Qing Cao, Shu-Jen Han, Jerry Tersoff, Aaron D. Franklin, Yu Zhu, Zhen Zhang, George S. Tulevski, Jianshi Tang, Wilfried Haensch

Science 2 October 2015:
Vol. 350 no. 6256 pp. 68-72
DOI: 10.1126/science.aac8006 

Moving beyond the limits of silicon transistors requires both a high-performance channel and high-quality electrical contacts. Carbon nanotubes provide high-performance channels below 10 nanometers, but as with silicon, the increase in contact resistance with decreasing size becomes a major performance roadblock. We report a single-walled carbon nanotube (SWNT) transistor technology with an end-bonded contact scheme that leads to size-independent contact resistance to overcome the scaling limits of conventional side-bonded or planar contact schemes. A high-performance SWNT transistor was fabricated with a sub–10-nanometer contact length, showing a device resistance below 36 kilohms and on-current above 15 microampere per tube. The p-type end-bonded contact, formed through the reaction of molybdenum with the SWNT to form carbide, also exhibited no Schottky barrier. This strategy promises high-performance SWNT transistors, enabling future ultimately scaled device technologies. 

Graphene as a front contact for silicon-perovskite tandem solar cells

As reported by HZB : HZB team develops elegant process for coating fragile perovskite layers with graphene for the first time. Subsequent measurements show that the graphene layer is an ideal front contact in several respects.

The perovskite film (black, 200-300 nm) is covered by Spiro.OMeTAD, Graphene with gold contact at one edge, a glass substrate and an amorphous/crystalline silicon solar cell. Credit: F. Lang / HZB

Silicon absorbers primarily convert the red portion of the solar spectrum very effectively into electrical energy, whereas the blue portions are partially lost as heat. To reduce this loss, the silicon cell can be combined with an additional solar cell that primarily converts the blue portions. Teams at HZB have already acquired extensive experience with these kinds of tandem cells. A particularly effective complement to conventional silicon is the hybrid material called perovskite. It has a band gap of 1.6 electron volts with organic as well as inorganic components. However, it is very difficult to provide the perovskite layer with a transparent front contact. While sputter deposition of indium tin oxide (ITO) is common practice for inorganic silicon solar cells, this technique destroys the organic components of a perovskite cell.
 

Graphene as transparent front contact:

Now a group headed by Prof. Norbert Nickel has introduced a new solution. Dr. Marc Gluba and PhD student Felix Lang have developed a process to cover the perovskite layer evenly with graphene. Graphene consists of carbon atoms that have arranged themselves into a two-dimensional honeycomb lattice forming an extremely thin film that is highly conductive and highly transparent.

Fishing for graphene:

As a first step, the scientists promote growth of the graphene onto copper foil from a methane atmosphere at about 1000 degrees Celsius. For the subsequent steps, they stabilise the fragile layer with a polymer that protects the graphene from cracking. In the following step, Felix Lang etches away the copper foil. This enables him to transfer the protected graphene film onto the perovskite. “This is normally carried out in water. The graphene film floats on the surface and is fished out by the solar cell, so to speak. However, in this case this technique does not work, because the performance of the perovskite degrades with moisture. Therefore we had to find another liquid that does not attack perovskite, yet is as similar to water as possible”, explains Gluba.

Ideal front contact:

Subsequent measurements showed that the graphene layer is an ideal front contact in several respects. Thanks to its high transparency, none of the sunlight’s energy is lost in this layer. But the main advantage is that there are no open-circuit voltage losses, that are commonly observed for sputtered ITO layers. This increases the overall conversion efficiency. “This solution is comparatively simple and inexpensive to implement”, says Nickel. “For the first time, we have succeeded in implementing graphene in a perovskite solar cell. This enabled us to build a high-efficiency tandem device.”
 

Perovskite Solar Cells with Large-Area CVD-Graphene for Tandem Solar Cells

Felix Lang, Marc A. Gluba, Steve Albrecht, Jörg Rappich, Lars Korte, Bernd Rech, and Norbert H. Nickel
J. Phys. Chem. Lett., 2015, 6 (14), pp 2745–2750
DOI: 10.1021/acs.jpclett.5b0117

Thursday, October 1, 2015

Photoshow Baltic ALD 2015 in Tartu Estonia

Here pictures in social media and that you send to me (jonas.sundqvist@baldengineering.com) will be publish to cover the scientific and social program of The 13th International Baltic Conference on Atomic Layer Deposition will be held in Tartu, Estonia, at the Institute of Physics of the University of Tartu on September 28–29, 2015.

Twitter Hash Tag : #BalticALD


Following the Baltic ALD 2015 Conference, the annual meeting of the COST project HERALD 
(http://www.european-ald.net) will be held at Dorpat Conference Center, Tartu, on September 30, 2015. Please also take picture at this event!


              


SCIENTIFIC PROGRAM:

http://bald2015.ee/program-baltic-ald-2015/schedule/

 Book of abstracts (Riikka Puurunen, VTT, Twitter)

A bus load of ALD scientists from Helsinki heading for the Helsinki-Tartu flight. Last time (2002) they took the bus all the way to Tartu.

Tartu as viewed from the conference Hotel on Sunday afternoon (Irina Kärkkänen, Sentech)

Conference bag - must be the best looking bag ever in the History of ALD (Riikka Puurunen, VTT, Twitter)

 The Russian ALD bag next to the Estonian ALD Bag below the VPHA Poster (Riikka Puurunen, VTT, Twitter)

 Participant distribution - Finland on top followed by Estonia and Germany (Riikka Puuronen, VTT, Twitter)

 View at the podium (Simon Rushworth, EpiValance, LinkedIn)

Modeling area-selective ALD, talk by Simon Elliott Tyndall (Riikka Puurunen, Twitter)

Timo Vähä-Ojala from Picosun talked about modelling of gas flow in ALD reactor (Tero Pilvi, Picosun)

Riikka Puurunen VTT -  Mechanical property mapping of ALD thin films (Christoph Hossbach, TU-Dresden) 

SOCIAL PROGRAM:

"Colours, colours! Physicum building at Tartu University" (Riikka Puurunen,  VTT, Twitter)

 

Welcome Reception September 27, 2015
Conference Dinner September 28, 2015

"Conference dinner at AHHAA science centre was memorable with the pyro show, good food, music, and company" (Riikka Puurunen, VTT, Twitter)

Guided City Tours September, 29, 2015 

SPONSORING


The conference venue showing the Exhibition area for a number of sponsoring companies: Armgate, Picosun, Oxford Instruments, Beneq, STREM Chemicals, SENTECH Instruments, Semilab, FAB Support.


    

Atomic Layer Deposition from Dissolved Precursors

Funny, I was just discussing an early french publication (see below) )from 1984 on Twitter with Riikka Puurunen with respect to the VPHA project and almost instantly I got an e-mail alert on this very cool publication in Nano Letter from Julien Bachmann and Lionel Santinacci (Hello again!) and co-workers on the same topic (liquid ALD) - hmm no early night tonight either... 

Atomic Layer Deposition from Dissolved Precursors

Yanlin Wu, Dirk Döhler, Maïssa Barr, Elina Oks, Marc Wolf, Lionel Santinacci, and Julien Bachmann

Department of Chemistry and Pharmacy, Friedrich-Alexander University of Erlangen-Nürnberg, Egerlandstrasse 1, D−91058 Erlangen, Germany
¥ CNRS, CINaM UMR 7325, Aix Marseille Université, F−13288 Marseille, France
‡ Departments of Chemistry and Physics, University of Hamburg, Sedanstrasse 19, D−20146 Hamburg, Germany
Nano Lett., Article ASAP
DOI: 10.1021/acs.nanolett.5b01424


 
 

Abstract

We establish a novel thin film deposition technique by transferring the principles of atomic layer deposition (ALD) known with gaseous precursors toward precursors dissolved in a liquid. An established ALD reaction behaves similarly when performed from solutions. “Solution ALD” (sALD) can coat deep pores in a conformal manner. sALD offers novel opportunities by overcoming the need for volatile and thermally robust precursors. We establish a MgO sALD procedure based on the hydrolysis of a Grignard reagent.


An amazing Spatial Liquid ALD machine from the mid 1980s (Nicolau1985, CEA, FRA) 



Wednesday, September 30, 2015

The fundamentals of CVD of boron-carbon thin films


Here is a great publication on the fundamentals of CVD of boron-carbon thin films from Henrik Pedersen and co-wokers at Linköping University, Sweden and Philipps-Universität Marburg. Such freedom they have, with respect to temperature... but then again it is rather cold in Sweden.

Gas phase chemical vapor deposition chemistry of triethylboron probed by boron–carbon thin film deposition and quantum chemical calculations

Mewlude Imam, Konstantin Gaul, Andreas Stegmüller, Carina Höglund, Jens Jensen, Lars Hultman, Jens Birch, Ralf Tonner and Henrik Pedersen

J. Mater. Chem. C, 2015, Advance Article
DOI: 10.1039/C5TC02293B

We present triethylboron (TEB) as a single-source precursor for chemical vapor deposition (CVD) of BxC thin films and study its gas phase chemistry under CVD conditions by quantum chemical calculations. A comprehensive thermochemical catalogue for the species of the gas phase chemistry of TEB is examined and found to be dominated by β-hydride eliminations of C2H4 to yield BH3. A complementary bimolecular reaction path based on H2 assisted C2H6 elimination to BH3 is also significant at lower temperatures in the presence of hydrogen. Furthermore, we find a temperature window of 600–1000 °C for the deposition of X-ray amorphous BxC films with 2.5 ≤ x ≤ 4.5 from TEB. Films grown at temperatures below 600 °C contain high amounts of H, while temperatures above 1000 °C result in C-rich films. The film density and hardness are determined to be in the range of 2.40–2.65 g cm−3 and 29–39 GPa, respectively, within the determined temperature window.

Ruthenium may take over from Copper for advanced Interconencts according to Imec

Here is an interesting report by Jeff Dorsch from SEMI’s annual Strategic Materials Conference, that was held September 22-23, at the Computer History Museum in Mountain View, California.

One of the most interesting things i find i that ruthenium is back on the table, at least according to Imecs Christoph Adelmann who presented that ruthenium my take over from copper in advanced interconnects one day. This is not the first time ruthenium is announced entering into semiconductor manufacturing. Many ruthenium R&D programs has started and eneded many times the last decade at IDMs, OEMs and materials suppliers especially at DRAM manufacturers looking to integrate SrTiO3 super high-k using ruthenium based electrodes in the capacitor module. So it is time again to have a look at the Ruthenium price development at Johnson Matthey.

Today the ruthenium price is actually as low (~150$/Oz.) as is was the first time I got involved in ruthenium ALD/CVD development in 2003 at Infineon. It will be very interesting to follow ruthenium this time  and if it would actually enter BEOL metallization the price should go up considerably since it does come again many times an an advance interconnect chip 12-16 depending on the design but not all layers may have to contain ruthenium so the upper layer will stay copper for a long time to come. Anotehr driver for sure is if ruthenium were to be used in 3D-stacing TSV technology - Maybe a good timing now to invest in some ruthenium!


Pt & Ru price chart generated at http://www.platinum.matthey.com/prices



Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf


Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf
Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf

The agenda for the ALD Lab Dresden Symposium at SEMICON Europa is now set!

The agenda for the ALD Lab Dresden Symposium is now set! If you are attending and representing a company or any organization with an offering in ALD or ALE - please brig alog your flyers, coffee mugs, hats and pensand I will sort out a table that is bug enought for displaying it.







AGENDA


 Tuesday, 6 October 2015

 09:00WelcomeOrganized by:



Supported by:

cost logo



  

Prof. Johann W. Bartha, TU Dresden

 09:15In situ monitoring of Atomic Layer Deposition in porous materials

Martin Knaut, TU Dresden

 09:40Passivation of MEMS by Atomic Layer Deposition

Matthias Schwille, Robert Bosch

 10:05Growth Monitoring by XPS and LEIS Investigations of Ultrathin Copper Films Deposited by Atomic Layer Deposition

Dileep Dhakal, TU Chemnitz/FhG ENAS

 10:30High-k dielectrics by ALD for BEOL compatible MIM

Wenke Weinreich, FhG IPMS-CNT


 10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
Mario Krug, FhG IKTS


 11:20ALD for solar cell application
Ingo Dirnstorfer, NaMLab


 11:45Plasma enhanced ALD process for TiO2- and WO3- films

Alexander Strobel, FH Zwickau


 12:10Lunch Break (Conversation, Networking, Finger food)


 13:00Why do we need Atomic Layer Etching

Jonas Sundqvist, Lund University/TU Dresden


 13:25Spatial Atomic Layer Deposition and Atomic Layer Etching

Prof. Fred Roozeboom, TU Eindhoven/ TNO Eindhoven


 13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?

Harm Knoops, Oxford Instruments/TU Eindhoven


 14:15Hardmask and side wall protection during dry etching with plasma enhanced deposition during dry etching for ALE purposes

Stephan Wege, Plasway


 14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation

Jacques Kools, Encapsulix


 15:05Closing Remarks / Wrap Up

Prof. Johann W. Bartha, TU Dresden


 15:10End

Tuesday, September 29, 2015

ALD at The 228th ECS Meeting October 11-15, 2015 in Phoenix AZ

Here are some interesting sessions at the upcoming The 228th ECS Meeting October 11-15, 2015 in Phoenix Arizona. Looking forward to hear about the outcome of the panel discussion on ALE!




G01 Atomic Layer Deposition Applications 11

Lead Organizer: F. Roozeboom (Eindhoven University of Technology)
Co-organizers: Jeffrey W Elam (Argonne National Laboratory) , A. Londergan (Qualcomm Technologies, Inc.) , O. van der Straten (IBM Research) , Annelies Delabie (imec) and Stefan De Gendt (imec vzw)

Tuesday, October 13, 2015

08:30-10:00

Energy Applications I
Phoenix East
Chair(s): Jeffrey W Elam and Fred Roozeboom

10:00-12:20

Energy Applications II
Phoenix East
Chair(s): Jeffrey W Elam and Neil P. Dasgupta

14:00-16:40

Textile and Related Coatings
Phoenix East
Chair(s): J. W. Elam and Helmut Baumgart

16:40-17:20

Characterization
Phoenix East
Chair(s): Stefan De Gendt and Jesse S Jur

Wednesday, October 14, 2015

09:00-10:00

New Materials and Processes I
Phoenix East
Chair(s): Stefan De Gendt and Paul Raymond Chalker

10:00-12:20

New Materials and Processes II
Phoenix East
Chair(s): O. van der Straten and Stefan De Gendt

14:00-15:40

Metal Deposition and Applications I
Phoenix East
Chair(s): O. van der Straten and Sean Barry

15:40-16:40

Metal Deposition and Applications II
Phoenix East
Chair(s): O. van der Straten and Soo-Hyun Kim

18:00-20:00

G01 Poster Session
West Hall 1
Chair(s): Fred Roozeboom

Thursday, October 15, 2015

08:00-10:00

Atomic Layer Etching I
Phoenix East
Chair(s): Fred Roozeboom and Thorsten Lill

10:00-11:40

Atomic Layer Etching II
Phoenix East
Chair(s): Craig Huffman and Steven M. George

11:40-12:40

Panel Discussion on Atomic Layer Etching
Phoenix East
Chair(s): Craig Huffman

Monday, September 28, 2015

Gerogia Tech have demonstrated the first optical rectenna using CNTs and ALD

Using nanometer-scale components - carbon nanotubes and atomic layer deposition researchers at Gerogia Tech have demonstrated the first optical rectenna, a device that combines the functions of antennas and a rectifier diodes to convert light directly into DC current. This could be a future technology to make very efficient solar cells!


Georgia Tech associate professor Baratunde Cola measures the power produced by converting green laser illumination to electricity using the carbon nanotube optical rectenna. (Phys.org)




Youtube interview of Prof. Cola

Read more at: http://phys.org/news/2015-09-optical-rectennacombined-rectifier-antennaconverts-dc.html#jCp

Origin and History of Electro Luminescent Displays

As many of you know, Dr. Tuomo Suntola and co-workes invented many ALD technologies and processes and further developed Atomic Layer Deposition (ALD), then called Atomic Layer Epitaxy (ALE) to an industrial mass production process during the 70s and 80s. They did this in order to have a reliable manufacturing method with atomic level control of dopants and crystallinity of the individual thin film layers making up the EL device for producing Electro Luminescent Displays with reliable operation. Most ALD experts has com across this story numerous times and that is why it is interesting to read about it from a wider perspective. Here is an excellent article on the origin and history of EL Displays worth reading also including the early 20th century discoveries and work on electroluminescense and other big players like SHARP.

http://www.elwire.net/products/electroluminescent-displays/



For more ALD related information and History of they development that took place in Finland you should also visit the ADL 2014 Kyoto Tutorial by Riikka Puuronen (VTT, Finland) which is available at Slideshare: http://www.slideshare.net/RiikkaPuurunen/aldhistory-tutorial-in-kyoyo-al-dhistory-tutorialald2014riikkapuurunen20140615 (Slide above, Riikka Puurunen, VTT))

If you´re interest in more early history of ALD you should read about or join the VHPA Project - it is quite exciting!

Virtual Project on the History of ALD (VPHA)

VPHA is an open collaborative effort, whose goal is to clarify open questions related to the early history of the Atomic Layer Deposition (ALD) thin film deposition technique. VPHA was launched in July 2013. VPHA is based on voluntary efforts, and anyone interested in the history of ALD is welcome to join. All VPHA activities are made in an atmosphere of openness, respect and trust.

http://www.vph-ald.com

Sunday, September 27, 2015

UPDATE- Photo show from Baltic ALD 2015 September 28-29, Tartu, Estonia

Here pictures in social media and that you send to me (jonas.sundqvist@baldengineering.com) will be publish to cover the scientific and social program of The 13th International Baltic Conference on Atomic Layer Deposition will be held in Tartu, Estonia, at the Institute of Physics of the University of Tartu on September 28–29, 2015.

Twitter Hash Tag : #BalticALD


Following the Baltic ALD 2015 Conference, the annual meeting of the COST project HERALD 
(http://www.european-ald.net) will be held at Dorpat Conference Center, Tartu, on September 30, 2015. Please also take picture at this event!


              


SCIENTIFIC PROGRAM:

http://bald2015.ee/program-baltic-ald-2015/schedule/

 Book of abstracts (Riikka Puurunen, VTT, Twitter)

A bus load of ALD scientists from Helsinki heading for the Helsinki-Tartu flight. Last time (2002) they took the bus all the way to Tartu.

Tartu as viewed from the conference Hotel on Sunday afternoon (Irina Kärkkänen, Sentech)

Conference bag - must be the best looking bag ever in the History of ALD (Riikka Puurunen, VTT, Twitter)

 The Russian ALD bag next to the Estonian ALD Bag below the VPHA Poster (Riikka Puurunen, VTT, Twitter)

 Participant distribution - Finland on top followed by Estonia and Germany (Riikka Puuronen, VTT, Twitter)

 View at the podium (Simon Rushworth, EpiValance, LinkedIn)

Modeling area-selective ALD, talk by Simon Elliott Tyndall (Riikka Puurunen, Twitter)

Timo Vähä-Ojala from Picosun talked about modelling of gas flow in ALD reactor (Tero Pilvi, Picosun)

Riikka Puurunen VTT -  Mechanical property mapping of ALD thin films (Christoph Hossbach, TU-Dresden) 

SOCIAL PROGRAM:

"Colours, colours! Physicum building at Tartu University" (Riikka Puurunen,  VTT, Twitter)

 

Welcome Reception September 27, 2015
Conference Dinner September 28, 2015

"Conference dinner at AHHAA science centre was memorable with the pyro show, good food, music, and company" (Riikka Puurunen, VTT, Twitter)

Guided City Tours September, 29, 2015 

SPONSORING


The conference venue showing the Exhibition area for a number of sponsoring companies: Armgate, Picosun, Oxford Instruments, Beneq, STREM Chemicals, SENTECH Instruments, Semilab, FAB Support.