Showing posts with label Aixtron. Show all posts
Showing posts with label Aixtron. Show all posts

Friday, January 12, 2024

Onsemi Awards AIXTRON for Outstanding Contribution to SiC Fab Productivity in South Korea

Onsemi, a key player in the semiconductor industry, has recognized AIXTRON with a supplier award for its significant contribution to the rapid production ramp-up and productivity increase at onsemi's large silicon carbide (SiC) fabrication facility in South Korea. The facility, one of the world's largest SiC fabs, has benefited from the integration of AIXTRON's new G10-SiC systems. onsemi's successful collaboration with AIXTRON in tool installation and optimization led to substantial improvements in tool operations and maintenance, resulting in greater uptime and higher wafer output. The award from onsemi, a leading manufacturer in the semiconductor sector, highlights AIXTRON's service excellence and the impact of their technology in advancing onsemi's production capabilities.



Friday, November 24, 2023

AIXTRON Launches €100 Million Innovation Center to Boost Semiconductor Technology Development

AIXTRON SE, a leader in deposition equipment for the semiconductor industry, has begun constructing a new EUR 100 million innovation center at its headquarters in Herzogenrath, Germany. This significant investment will establish a 1000m2 cleanroom, along with space for metrology equipment, incorporating cutting-edge industry technologies. Scheduled for initial use in the second half of 2024 and official handover in early 2025, this facility marks a major milestone in AIXTRON's 40-year history. The innovation center, celebrated during a ground-breaking ceremony attended by political, scientific, and industry representatives, reinforces AIXTRON’s commitment to leading semiconductor technology and future growth. 

Dr. Felix Grawert, CEO of AIXTRON, highlighted the center's role in developing next-generation solutions for digitalization, electromobility, and energy efficiency. The cleanroom, classified as ISO 6 with potential expansion to ISO 4, is designed for high efficiency, utilizing a compact, multi-level layout to maximize space and streamline processes.



About AIXTRON

AIXTRON SE is a leading provider of deposition equipment to the semiconductor industry. The Company was founded in 1983 and is headquartered in Herzogenrath (near Aachen), Germany, with subsidiaries and sales offices in Asia, United States and in Europe. AIXTRON´s technology solutions are used by a diverse range of customers worldwide to build advanced components for electronic and optoelectronic applications based on compound or organic semiconductor materials. Such components are used in a broad range of innovative applications, technologies and industries. These include Laser and LED applications, display technologies, data transmission, SiC and GaN power management and conversion, communication, signaling and lighting as well as a range of other leading-edge applications.

Our registered trademarks: AIXACT®, AIXTRON®, Atomic Level SolutionS®, Close Coupled Showerhead®, CRIUS®, Gas Foil Rotation®, OVPD®, Planetary Reactor®, PVPD®, TriJet®

For further information on AIXTRON (FSE: AIXA, ISIN DE000A0WMPJ6) please visit our website at www.aixtron.com

Wednesday, November 22, 2023

AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

The article discusses AIXTRON's support for BelGaN in expanding its Gallium Nitride (GaN) business through the new G10-GaN system. AIXTRON SE, a semiconductor company, is enabling BelGaN, a leading GaN automotive-qualified semiconductor foundry in Europe, to enter the growing GaN market and boost GaN technology innovation. BelGaN plans to use AIXTRON's G10-GaN, which offers top performance, a compact design, and low cost per wafer, starting with an 8x150mm configuration, to be delivered to BelGaN's production site in Oudenaarde, Belgium, by the end of 2023. This system will later evolve to support 5x200mm.


AIXTRON's G10-GaN System Empowers BelGaN's Expansion into the Growing GaN Semiconductor Market

BelGaN aims to expand its power chip range with voltage ratings from 40V to 1200V, utilizing GaN-on-Si, GaN on SOI, and new GaN-on-engineered substrates, focusing on high performance, automotive quality, reliability, high yield, and low costs. The G10-GaN will enable innovations in device architectures and improvements in performance, yield, and quality, reducing the cost of GaN products. This advancement is expected to drive growth in e-mobility, datacom, energy conversion, and contribute to a carbon-neutral society.



The G10-GaN epitaxy system is a highly efficient, productive, and cost-effective solution for GaN power and RF applications, combining single wafer performance with the economy of batch processing.

Dr. Marnix Tack of BelGaN praised the productivity, uniformity, and low cost of ownership of the G10-GaN, while Dr. Felix Grawert of AIXTRON expressed pride in BelGaN's choice of their technology. The G10-GaN, building on the G5+ C platform, offers double the productivity per cleanroom area, better material uniformities, and over 25% lower cost of ownership compared to other market equipment, making it a competitive choice for AIXTRON's customers. This MOCVD system is fully automated and designed for silicon fabs, marking a significant technological step in the semiconductor industry.

G10 GaN Performance (www.aixtron.com)

The G10-GaN by AIXTRON is a state-of-the-art deposition system for 150/200 mm GaN epitaxy, designed for GaN power and RF applications. It features a compact cluster design with three process chambers, reducing the footprint by 50% while maximizing wafer yield per square meter. This system significantly improves device yield with a threefold increase in on-wafer uniformity and maintains low particle levels, enhancing overall quality and consistency.

Cost-effectiveness is a key attribute, with the G10-GaN offering more than 25% lower epitaxial cost per wafer compared to other platforms. It incorporates Planetary Reactor® technology for high wafer yield and uniformity. The system's productivity is marked by over 90% equipment uptime and highest throughput per fab area, supported by features like fully automated wafer handling and advanced temperature control.

The G10-GaN ensures easy maintenance and operation with features like automated chamber cleaning and predictive functionalities. It's compatible with existing AIX G5+ C tools, facilitating seamless process transfers. Overall, the G10-GaN epitaxy system combines efficiency, cost-effectiveness, and advanced technology, making it an optimal choice for semiconductor manufacturing in the GaN sector.

Market opportunity for GaN for MOCVD and ALD


ALD and MOCVD is rapidly gaining traction in the semiconductor industry, particularly for logic, memory, and wide-bandgap power semiconductors. This growth is highlighted by industry experts at SEMICON Europa 2023. ALD is primarily adopted for its excellent surface passivation qualities, essential in GaN power electronic devices. While miniaturization drives ALD use in some sectors, its primary role in power electronics is to provide high-quality, conformal coatings, crucial for device performance and reliability. Thermal ALD processes like Al2O3 and AlON are key for GaN transistors, offering improved interface layers for low dynamic switching losses. ALD's impact is most notable in consumer, automotive, and industrial markets, where it enhances device performance and longevity. Looking forward, continued optimization of ALD processes and in-situ surface treatments are expected to further enhance device performance and reliability, especially in GaN power electronic devices, with high growth predicted in various end markets.



Thursday, November 16, 2023

Aixtron Reports Strong Revenue Growth and Doubled Profits in Q3 2023, Driven by High Demand for GaN and SiC Power Electronics

 In Q3 2023, Aixtron SE, a deposition equipment maker based in Herzogenrath, Germany, reported a revenue of €165 million, marking an 86% increase from the previous year but a 4.9% decrease from the last quarter. The company's revenue for the first nine months of 2023 rose by 49% year-on-year to €415.7 million, predominantly from equipment sales.


A significant portion of the revenue came from the sale of metal-organic chemical vapor deposition (MOCVD)/chemical vapor deposition (CVD) systems for manufacturing gallium nitride (GaN) and silicon carbide (SiC) based power electronics, which accounted for 82% of equipment revenue. There was a notable shift from optoelectronics and LED systems to SiC and GaN-based systems.

Regionally, Asia contributed 44% of the revenue, followed by Europe (33%) and the Americas (23%). The gross margin improved to 46% in Q3 from 42% in Q2, with operating profit doubling year-on-year due to a better product mix.

However, the company faced increased operating expenses, primarily due to a 44% rise in R&D costs. This led to a significant drop in free cash flow, mainly attributed to a rise in inventories in anticipation of higher business volumes.

Aixtron launched the G10-GaN system, an addition to its G10 product line, which is expected to generate over 50% of its total GaN revenues in 2024. Despite a dip in order intake in Q3, Aixtron projects a higher intake in Q4 and confirms increased full-year growth guidance, expecting continued strong demand, especially for efficient power electronics.

The company is also investing in a new Innovation Center to expand its R&D capabilities, aligning with global trends in electrification, digitalization, and renewable energies, where materials like SiC and GaN are becoming mainstream.

Source: 

Aixtron’s Q3 Revenue And Earnings Up Significantly Year-on-year - Plato Data Intelligence (zephyrnet.com)

Tuesday, September 19, 2023

Aixtron’s G10-SiC CVD System Supports GlobiTech’s SiC Epitaxy Expansion

  • GlobiTech Inc produces silicon carbide (SiC) and silicon epitaxial wafers, primarily focusing on serving the power and electric vehicle (EV) market segments.
  • GlobiTech Inc's production facilities are located in Sherman, Texas, USA.

Aixtron SE is aiding Texas-based silicon-epitaxy foundry GlobiTech Inc's entry into the silicon carbide (SiC) epitaxy market. The G10-SiC chemical vapor deposition (CVD) system from Aixtron has enabled GlobiTech to rapidly scale SiC epitaxy production in response to growing demand for power epiwafers. Featuring dual wafer sizes (9x150mm and 6x200mm), it offers high throughput per fab space. GlobiTech's expansion confirms the trend of SiC replacing silicon in various applications. Both firms have enjoyed a fruitful partnership, with Aixtron's tools maximizing wafer output. The G10-SiC is projected to be Aixtron's top-selling product in 2023.



Aixtron G10-SiC (Source Aixtron.com)

Source: AIXTRON Pressemeldungen :: AIXTRON

Recent Blog posts on SiC:

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: Samco launches new ICP Tornado Plasma ALD system

BALD Engineering - Born in Finland, Born to ALD: ASM International has completed the acquisition of Italian Silicon Carbide Equipment Manufacturer LPE S.p.A.

BALD Engineering - Born in Finland, Born to ALD: Chemistry paves the way for improved electronic materials - LiU have developed a new molecule that can be used to create high-quality indium nitride

Background:

  • Silicon carbide: from gold rush to commodity?1, which provides an overview of the global SiC market and its predictions for the future of the technology. It discusses the growth rate, size, and drivers of the SiC device market, as well as the competitive landscape and supply chain of the SiC industry. It also analyzes the challenges and opportunities for SiC technology in different applications, such as automotive, industrial, energy, and telecommunications. It also compares and evaluates SiC with other wide bandgap materials, such as gallium nitride (GaN) and diamond.
  • The 2023 global fab landscape: opportunities and obstacles2, which considers the state of the global semiconductor fab market in a post-COVID world. It discusses the emerging business models that could enable the semiconductor industry to migrate to leading-edge and mature technology with optimal manufacturing capacity. It also examines the impact of COVID-19, trade wars, and geopolitical tensions on the semiconductor supply chain and fab investments. It also explores the trends and innovations in semiconductor materials, devices, and modules, such as silicon carbide (SiC), gallium nitride (GaN), and quantum computing.




AIXTRON's MOCVD Machine Advancing 2D-Materials at imec

AIXTRON, a German semiconductor equipment manufacturer, has successfully installed the CCS 2D Metal-Organic Chemical Vapour Deposition (MOCVD) reactor at the Interuniversitair Micro-Electronica Centrum (imec) in Belgium. Part of the 2D Experimental Pilot Line initiative, this reactor facilitates large-scale epitaxial deposition of 2D-materials on 200/300 mm wafers, marking a significant milestone in the transition of these materials from lab to fab.

Inge Asselberghs, Director of the 2D Experimental Pilot Line at imec, emphasizes the unique position of imec as a bridge between academia and industry, offering access to both fundamental research laboratories and industrial infrastructure.

Salim El Kazzi, 2D Product Manager at AIXTRON, outlines the challenges of the lab-to-fab transition, including miscommunication and the technical intricacies of 2D-materials. AIXTRON addresses these challenges by fostering collaboration among top researchers and providing FAB-compatible reactors like the CCS 2D.

To bridge the gap effectively, Asselberghs highlights the importance of versatile tools for rapid material and process screening, crucial for seamless information exchange between industry and academia.

The CCS 2D MOCVD machine from AIXTRON offers precise control over deposition parameters and features like closed-coupled shower heads and a transfer module for 2D-material growth on 300 mm wafers.


This installation promises exciting prospects for imec's research on transition metal dichalcogenides (TMDCs) and advances in pilot-line processes for 2D-material growth and layer transfer. Both AIXTRON and imec actively participate in Graphene Week 2023, contributing to the advancement of 2D-materials in industrial applications and strengthening the link between academia and industry.

Source: AIXTRON installs a MOCVD machine CCS 2D in IMEC’s fab | Graphene Flagship (graphene-flagship.eu)

Thursday, September 7, 2023

AIXTRON Unveils G10-GaN Cluster Solution for Power Electronics Market

AIXTRON SE has unveiled the G10-GaN cluster solution for high-volume manufacturing of Gallium Nitride (GaN) power and RF devices. The platform delivers superior performance, a compact design, and cost-efficiency. Dr. Felix Grawert, CEO of AIXTRON, highlighted its potential in reducing global CO2 emissions and its growing demand in applications like mobile fast chargers, data centers, and solar energy. The system improves material uniformity, extends equipment uptime, and offers a 25% cost reduction per wafer, making it a significant advancement in semiconductor technology.

Herzogenrath, September 6, 2023 – AIXTRON SE, the semiconductor industry's leading deposition equipment supplier, has introduced its latest innovation, the G10-GaN cluster solution for Gallium Nitride (GaN) based power and radio frequency (RF) devices. This groundbreaking platform is making its debut at SEMICON Taiwan (September 6-8, 2023) in Taipei, offering unparalleled performance, a compact design, and exceptional cost-efficiency.

The cluster can be equipped with up to three process modules, delivering a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology – enabling a 25 percent cost reduction per wafer compared to previous products. LINK

Dr. Felix Grawert, CEO and President of AIXTRON SE, proudly announced, "Our new G10-GaN platform has already received qualification for high-volume production of GaN Power devices from a prominent US device manufacturer. It delivers double the productivity per cleanroom area compared to our previous product, enhances material uniformity, and provides a competitive edge to our customers."

GaN-based technologies are gaining importance in the drive to reduce global CO2 emissions due to their significantly more efficient power conversion capabilities compared to traditional silicon (Si). GaN can reduce power losses by a factor of two to three. Dr. Grawert noted, "We anticipate continuous growth in the GaN market throughout this decade and beyond. GaN has already replaced silicon in fast chargers for mobile devices, and we are witnessing rising demand in data centers and solar applications."

AIXTRON has been at the forefront of GaN on Si process and hardware development for over two decades. The company's AIX G5+ C planetary reactor, known for being the first fully automated GaN Metal-Organic Chemical Vapor Deposition (MOCVD) system with In-Situ Cleaning and Cassette-to-Cassette automation, is now an industry-standard tool for GaN power production. The new G10-GaN cluster solution builds upon this legacy, elevating every performance metric.

Designed to maximize cleanroom space, the G10-GaN features innovative reactor inlets that enhance material uniformity, resulting in optimized device yields. The platform incorporates on-board sensors, a new software suite, and fingerprint solutions to ensure consistent performance across runs, even between maintenance cycles, extending equipment uptime by over 5% compared to the previous generation.

The cluster can accommodate up to three process modules, boasting a record capacity of 15x200 mm wafers thanks to Planetary batch reactor technology. This enables a remarkable 25% reduction in cost per wafer compared to previous products, a factor that promises significant savings for manufacturers.

AIXTRON's G10-GaN cluster solution positions the company as a key player in the ever-evolving landscape of GaN-based power and RF devices, furthering its commitment to advancing semiconductor technology for a sustainable future.

Wednesday, May 5, 2021

Imec and AIXTRON Demonstrate 200 mm GaN Epitaxy on AIX G5+ C

Imec and AIXTRON Demonstrate 200 mm GaN Epitaxy on AIX G5+ C for 1200V Applications with Breakdown in Excess of 1800V

LEUVEN (Belgium), APRIL 29, 2021 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and AIXTRON, the leading provider of deposition equipment for compound semiconductor materials, have demonstrated epitaxial growth of gallium-nitride (GaN) buffer layers qualified for 1200V applications on 200mm QST® substrates, with a hard breakdown exceeding 1800V. The manufacturability of 1200V-qualified buffer layers opens doors to highest voltage GaN-based power applications such as electric cars, previously only feasible with silicon-carbide (SiC)-based technology. The result comes after the successful qualification of AIXTRON’s G5+ C fully automated metal-organic chemical vapor deposition (MOCVD) reactor at imec, Belgium, for integrating the optimized material epi-stack.

AIX G5+ C reactor module with cassette-to-cassette wafer handler (www.aixtron.com)

Wide-bandgap materials gallium-nitride (GaN) and silicon-carbide (SiC) have proved their value as next-generation semiconductors for power-demanding applications where silicon (Si) falls short. SiC-based technology is the most mature, but it is also more expensive. Over the years tremendous progress has been made with GaN-based technology grown on for example 200mm Si wafers. At imec, qualified enhancement mode high-electron-mobility transistors (HEMTs) and Schottky diode power devices have been demonstrated for 100V, 200V and 650V operating voltage ranges, paving the way for high-volume manufacturing applications. However, achieving operating voltages higher than 650V has been challenged by the difficulty of growing thick-enough GaN buffer layers on 200mm wafers. Therefore, SiC so far remains the semiconductor of choice for 650-1200V applications – including for example electric cars and renewable energy.

For the first time, imec and AIXTRON have demonstrated epitaxial growth of GaN buffer layers qualified for 1200V applications on 200mm QST® (in SEMI standard thickness) substrates at 25°C and 150°C, with a hard breakdown exceeding 1800V. Denis Marcon, Senior Business Development Manager at imec: “GaN can now become the technology of choice for a whole range of operating voltages from 20V to 1200V. Being processable on larger wafers in high-throughput CMOS fabs, power technology based on GaN offers a significant cost advantage compared to the intrinsically expensive SiC-based technology.”

Key to achieving the high breakdown voltage is the careful engineering of the complex epitaxial material stack in combination with the use of 200mm QST® substrates, executed in scope of the IIAP program The CMOS-fab friendly QST® substrates from Qromis have a thermal expansion that closely matches the thermal expansion of the GaN/AlGaN epitaxial layers, paving the way for thicker buffer layers – and hence higher voltage operation.

Dr. Felix Grawert, CEO and President of AIXTRON “The successful development of imec’s 1200V GaN-on-QST® epi-technology into AIXTRON’s MOCVD reactor is a next step in our collaboration with imec. Earlier, after having installed AIXTRON G5+C at imec’s facilities, imec’s proprietary 200mm GaN-on-Si materials technology was qualified on our G5+ C high-volume manufacturing platform, targeting for example high-voltage power switching and RF applications and enabling our customer to achieve a rapid production ramp-up by pre-validated available epi-recipes. With this new achievement, we will be able to jointly tap into new markets.” Currently, lateral e-mode devices are being processed to prove device performance at 1200V, and efforts are ongoing to extend the technology towards even higher voltage applications. Next to this, imec is also exploring 8-inch GaN-on-QST® vertical GaN devices to further extend the voltage and current range of GaN-based technology.

Wednesday, July 3, 2019

Aixtron delivers 3x2" CCS MOCVD system to Nagoya University for DUV opto device research

Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany has delivered a Close Coupled Showerhead (CCS) system to Nagoya University in Japan. Installed at the university’s Institute of Materials and Systems for Sustainability (IMaSS), the 3x2-inch Flip Top CCS metal-organic chemical vapor deposition (MOCVD) platform is intended for research on gallium nitride (GaN)-based deep-ultraviolet (DUV) optoelectronic devices.

Nagoya University is a leading Japanese research institution for semiconductor materials, especially in the field of GaN-based structures. By focusing on the development of DUV devices using Aixtron’s 3x2-inch Flip Top CCS MOCVD tool, IMaSS is targeting a wide range of future-oriented applications in areas such as agriculture, health or water purification.
 
Source: Semiconductor TodayLINK
 

Monday, July 1, 2019

Aixtron launch CVD equipment for production of graphene

[Graphen Flagship News, LINK] From prototypes to products: AIXTRON's new systems enable cost-effective and large-scale production of graphene and related materials by chemical vapour deposition. Today, Graphene Flagship industrial partner AIXTRON showcased two new systems that enable cost-effective graphene production for a myriad of applications – such as consumer electronics, sensors, and photonics.The new devices allow the production of graphene under ambient conditions, and bring the cost of graphene films down by two orders of magnitude. 

The Neutron is a roll-to-roll system capable of depositing large areas of graphene on metal foils under ambient conditions (Photo: EU Graphene Flagship)


Graphene Flagship partner AIXTRON introduced results from two of its systems that enable the large-scale production of graphene through chemical vapour deposition (CVD). The Neutron is a roll-to-roll system capable of depositing large areas of graphene on metal foils under ambient conditions; and the CCS 2D system enables wafer-scale production of graphene on insulating wafers, a breakthrough that will speed up the development of new graphene electronics. To demonstrate the cost-effective nature of the graphene produced, AIXTRON distributed samples at the Industrial Forum.



The innovative Neutron system has a capacity of up to 20,000 square meters of graphene per year; this is around 200 times the production capacity of typical reactors in use today. Alex Jouvray, Programme Manager at AIXTRON and Graphene Flagship Work Package Leader for Production, explains that "Neutron is the product that resulted from of over three years of R&D, which included the demonstration of roll-to-roll graphene growth during the first stages of the Graphene Flagship project." Neutron brings the production of large areas of graphene beyond academic circles and to the factory floor. "The foil that is coated with graphene enters and exits the Neutron system under ambient conditions," explains Jouvray. "Since it doesn't need a vacuum, the Neutron can be easily placed inline at graphene manufacturing plants," he adds. Large-area monolayer graphene produced using this novel technique could lead to applications in transparent conductors, wearable devices, and coatings. "Moreover, it's economical," adds Jouvray. "With Neutron, we are able to bring the cost of a square meter of graphene CVD films down by two orders of magnitude," he explains. "It's a game-changer."

The versatile CCS system targets semiconductor applications. Here, there are stringent contamination requirements; usually, graphene needs to be grown on metallic surfaces and foils, which, being non-flat, are challenging to handle in the semiconductor industry and contain metal contamination that require further cleaning steps before the material can enter a fab. During the first years of the Graphene Flagship project, together with the group of Camilla Coletti at Graphene Flagship partner Istituto Italiano di Tecnologia (IIT), AIXTRON scaled the growth of graphene on insulators to full wafer-scale on its CCS 2D reactor, which can accommodate 2-inch up to 8-inch wafers. The wafers exhibit low contamination levels that meet the requirements of semiconductor fabs directly after growth. Camilla Coletti comments that "such tremendous progress is only possible thanks to the Graphene Flagship project which brings together top scientists from academia and engineers from a world-leading equipment company." The system is also capable of large-scale production of other layered materials, such as boron nitride or transition metal dichalcogenides.

Kari Hjelt, Head of Innovation of the Graphene Flagship believes that "these systems developed by AIXTRON show how our investment into prototypes during the first years of the Graphene Flagship are leading to products that enable mass production of graphene by chemical vapour deposition." He adds, "these discoveries open up thousands of possibilities beyond graphene, the arrival of wafers featuring other layered materials, or even 'sandwich' heterostructures are just around the corner," concludes Hjelt.

Andrea C. Ferrari, Science and Technology Officer of the Graphene Flagship and Chair of its Management Panel added that "the ultimate aim of the Graphene Flagship is to bring graphene and related layered materials from the lab to the factory floor. To take these new materials to the traditional semiconductor fabs, which is key to achieve their widespread application in consumer electronics, photonics and sensors, industrial tools capable of large area, large rate and low-cost manufacturing of graphene and related materials are needed.""With these systems," —adds Ferrari— "Graphene Flagship Partner AIXTRON leads the way fostering the new market opportunities that these new materials open. The ability to produce large scale graphene viably is of particular importance as the Graphene Flagship gears up to launch the first Graphene Foundry. Moreover, these products are a cornerstone in the innovation and technology roadmap of the Graphene Flagship, and shows that we are set to achieve the ambitious goals for our first ten years."

Friday, June 21, 2019

Aixtron partners in UltimateGaN project to make power semiconductors available for broad applications at competitive cost

[Semicondutor Today] Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany says that it is a partner in the European research project UltimateGaN (research for GaN technologies, devices and applications to address the challenges of the futureGaN roadmap). In addition to Aixtron, 25 other companies and institutions from nine countries have come together to research the next generation of energy-saving chips based on gallium nitride (GaN) over the next three years. The aim is to make these power semiconductors available for a wide range of applications at globally competitive costs.


The UltimateGaN consortium consists of 26 well-established participants originating from 9 European member states and associated countries constituting a balanced mix of industry and research with complementary skills and expertise. The multidisciplinary partners cover the entire value chain technology – packaging – reliability – application.

UltimateGaN is one of the largest existing European research projects in semiconductor development. The €48m in funding consists of investment by industry, subsidies from the individual participating countries and the Electronic Components and Systems for European Leadership (ECSEL) Joint Undertaking (JU).

Efficient use of energy for climate protection


“By developing intelligent technologies, we are making a key contribution to the global challenge of climate change,” says Aixtron president Dr Felix Grawert. “New materials and efficient chip solutions play a key role here. With this research project, we are creating the conditions for making innovative energy-saving chips available for many future-oriented everyday applications,” he adds.

“Gallium nitride semiconductor devices are revolutionizing energy use on many levels,” says professor Michael Heuken, Aixtron’s VP Research & Development. “The research project opens up an enormous global market potential,” he adds. “It enables better performance and efficiency in a wide range of applications and significantly improves user comfort. Efficient operation of servers and data centers, fast and wireless charging of smartphones, data exchange between machines in real time, or lightning-fast video streaming become reality.”
Source: Semiconductor Today LINK

Thursday, April 4, 2019

Applied Optoelectronics orders MOCVD technology from AIXTRON

Photonics manufacturer expands production into growing laser business with multiple AIX 2800G4 systems

Herzogenrath/Germany, 2 April, 2019 – AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced the delivery of multiple AIX 2800G4 systems to US-based photonic maker Applied Optoelectronics, Inc. (AOI) which focuses on the design, development, and manufacturing of advanced optical devices, packaged optical components, optical subsystems, laser transmitters, and fiber optic transceivers. The delivered tools feature a 12x4-inch configuration.

AIX 2800G4-TM

The AIX 2800G4 platform has established itself as the market-leading tool for high-volume production of vertical-cavity surface-emitting lasers (VCSEL) for 3D sensors and other diode lasers due to the matchless performance of the Planetary Reactor® concept with respect to thickness and wavelength uniformity control of epitaxial layers. The system provides incomparably high efficiency in handling the expensive chemicals used for MOCVD processes while delivering maximum production yield of premium level laser devices. In addition to the excellent reproducibility of each individual system, customers also appreciate the very good repeatability amongst systems.

Dr. Klaus Anselm, Vice President of Semiconductor Products at AOI, comments: "Following our good experience with AIXTRON systems in the past, we will also utilize the proven Planetary® technology from Germany for our production expansion. The AIX 2800G4 has convinced us in all test phases, so that we are now looking forward to use the system for launching volume production of our next generation of optoelectronic components."

"We are very pleased that AOI has added our market-leading AIX 2800G4 tool to its existing manufacturing equipment. A system that has acquired a reputation over the past few years as the tool of record for the production of high-quality laser devices in the semiconductor industry. We are looking forward to the collaboration with AOI," says Dr. Bernd Schulte, President of AIXTRON SE.

Saturday, November 18, 2017

Aixtron complete sale of ALD/CVD memory product line to Eugene Technology

AIXTRON SE (FSE: AIXA), one of the world’s leading providers of deposition equipment to the semiconductor industry, announced today that the sale of AIXTRON’s ALD and CVD memory product line to Eugene Technology Inc., a wholly owned U.S. subsidiary of Eugene Technology Co., Ltd, South Korea was completed as of November 15, 2017. 

The QXP-8300 Atomic Layer Deposition (ALD) mini-batch system suitable for e.g. high-k oxide films in advanced memory applications including 3D structure devices (aixtron.com)

AIXTRON will receive c. USD 60 million for the assets being transferred and c. USD 11 million for open supplier orders for which it retains the liability to pay.

AIXTRON, Inc., the U.S. subsidiary of AIXTRON SE situated in Santa Clara, California, will continue to provide sales and support for its continuing businesses.

Wednesday, October 25, 2017

Aixtron's sale of ALD/CVD Product Line to Eugene Technology in South Korea gets US approval

Aixtron SE (AIXG), announced Tuesday that the Committee on Foreign Investment in the United States or CFIUS has approved the sale of ALD and CVD memory product line. 
 
 
Eugene Technology CEO Eom Pyeong-yong (left) and Aixtron CEO Kim Schindelhauer pose for a photo holding their contract. (Picture credit: Pulse by Maeil Business Newspaper & mk.co.kr LINK)
 
The company noted that the investigation by the CFIUS of the sale of AIXTRON's ALD and CVD memory product line to Eugene Technology in South Korea resulted in a determination that there are no unresolved U.S. national security concerns from CFIUS' perspective.
 
Full report: LINK

Wednesday, September 27, 2017

AIXTRON provides novel deposition system to EPFL for 2D materials research

Leading Swiss university focuses on the development of next-generation semiconductors based on AIXTRON BM system

 
AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced that the École Polytechnique Fédérale de Lausanne (EPFL) in Lausanne (Switzerland) has purchased a BM NOVO system. This versatile tool which can produce virtually all variations of 2-dimensional materials (2D) required for emerging optoelectronic applications is dedicated to support the University’s research projects coordinated by Prof. Andras Kis and Prof. Aleksandra Radenovic.

AIXTRON’s BM NOVO system uses a unique combination of plasma-enhanced chemical vapor deposition (PECVD) technology and metal organic chemical vapor deposition (MOCVD) technology to enable the growth of high quality 2D materials such as transition metal dichalcogenides (TMDCs) e.g. molybdenum disulfide (MoS2) or tungsten diselenide (WSe2).

Source: Aixtron LINK

Thursday, September 21, 2017

Swedish-American glō Orders G5+ MOCVD System for Micro-LED Production

This summer Google announced that it has invested 15 million USD for a 13 percent stake in Glo, a Swedish Lund University spin-out focused on creating nanowire-based LED-displays for mobile phones and smart watches as well as AR- and VR-applications (LINK). 

Now Aixtron Reports: AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that it has received an order for an AIX G5+ platform from Swedish-American company glō-USA, Inc. The group focuses on the commercialization of micro-LED (mLED) products based on their proprietary defect-free GaN nanowires technology. Such 3D structures enable the growth of mLEDs while maintaining the reliability of an inorganic material system. AIXTRON’s AIX G5+ Planetary Reactor® system was selected in the scope of glō’s strategic expansion and will be delivered with an 8x150 mm configuration in the course of Q4/2017.

Saturday, August 19, 2017

OSRAM Opto Semiconductor Acquires MOCVD Systems from AIXTRON

AIXTRON announced that OSRAM Opto Semiconductors has purchased the company's AIX 2800G4-TM Planetary system for the manufacturing of mainly infrared-based high power lasers and LEDs based on gallium arsenide (GaAs).

Source: LEDinside LINK
Photo credit: Aixtron (www.aixtron.com)
From Aixtron Press release: “We are very pleased that OSRAM Opto Semiconductors has selected our AIX 2800G4-TM platform for the production of high power laser and infrared LED devices. Their trust in our AIX 2800G4-TM system confirms our strategy to focus on solutions for the most demanding applications, where superior process performance is mandatory to meet our customer’s requirements. Following the recent qualification of our AIX G5 C platform and achieving this key milestone also with the AIX 2800G4-TM, we are looking forward to further deepen our partnership with one of the most innovative semiconductor manufacturers worldwide”, explains Dr. Frank Schulte, Vice President AIXTRON Europe.