Monday, March 14, 2016

Photo show NaMLab Novel High-k Materials Workshop in Dresden

Novel High k Application Workshop 2016

In collaboration with the EU COST networking project HerALD (working group 4), NaMLab invites to the Novel High-k Application Workshop on March 14th and 15th, 2016. New challenges offered by the application of high-k dielectric materials in micro– and nanoelectronics will be discussed by more than 80 participants from industry, research institutes and universities. NaMLab created with the workshop a stimulating European platform for application-oriented scientist to exchange ideas and discuss latest experimental results on MIM-capacitors, process technology, leakage & reliability as well as characterization of high-k dielectrics integrated in silicon based micro– and nanoelectronics. In addition, new results in the field of ALD dielectrics in solar cells, transparent conduction oxides (TCOs) and atomic layer etching (ALE) will be discussed. 

Here are pictures from the Event - Day 1:

Networking / Breaks:


Two of the Sponsors of the event Jamal Belgacem from Strem and Andy Stamm Oxford Instruments



The Precursor Buffé from Strem 



Additional sponsors of the event - Sara Wengström from Swedish FAB Support and Paul Williams from Pegasus disussing precursors with very important customers.

Sessions :

 The speakers lining up with USB sticks to upload their presentations


Dr. Karim Cherkaoui, Nanoelectronic Materials and Devices Group (NMD), Tyndall National Lab, Ireland giving a talk on High-k on III/V semiconductors. 

 
J. Roberts from University of Liverpool - Dielectrics for AlGaN/GaN MISHEMT power electronics 


Dr. Jurgen Schubert Peter Grünberg Institute (PGI-9), Forschungszentrum Jülich - Rare eath oxides on GaN


N. Szabo, NaMLab, Dresden - ALD Al2O3 as a high‐k dielectric material for future GaN power devices.


Max Drescher, Fraunhofer IPMS, Dresden - Deciphering Reliability in High‐K Metal Gate Technology. 



Dr. Elke Erben, Globalfoundries, Dresden - Workfunction tuning and gate stack for 22nm FD‐SOI.



Felix Winkler, TU Dresden - Novel vertical TSV field effect transistor using ALD high‐k gate dielectrics


A. Thomas, IFW Dresden - ALD deposited HfO2‐based magnetic tunnel junctions 

 
M. Godlewski, Acad. of Sc. Warsaw, Poland - High‐k oxides by ALD ‐ from applications in electronics to biology and medicine






J. Van Houdt, Imec - High-k in memory devices.



M.H. Park, SNU, Seol, South Korea - Current understanding of ferroelectricity and field‐induced‐ferroelectricity in (Hf,Zr)O2 films based on first order phase transition theory 








 

High-k & Metal Precursors for ICs to Reach ~$400M by 2020

San Diego, CA, March 5, 2016: Chemical precursors (inorganic and organic) used to form high dielectric constant (High-K) materials, metals and metal nitrides needed in advanced ICs are forecasted to reach $400M USD in global sales by 2020, as highlighted in TECHCET’s 2016 Critical Materials Report. Estimated to have totaled over $258M in 2015, this market consists of ~51% high-k metal precursors used for gate dielectrics and capacitors, and ~49% other metal precursors used for electrode and interconnect processes. 


The largest usage for High-K ALD and CVD (Atomic Layer Deposition and Chemical Vapor Deposition) precursors will continue to be capacitor formation for volatile memory devices through 2020. However, it is expected that revenues for High-K gate oxides processes may surpass memory capacitors by 2021. Compared to CVD, the ALD process relies on unique properties of precursors to self-limit reactions at the atomic level, so ALD precursors are generally chemically engineered complex molecules that command relatively higher average selling prices. 

Atomic Layer Etching (ALE) is a new technology similar to ALD, in that alternating sequential surface-limited steps remove precise layers. When engineering atom-scale device features, chip fabricators will continue to rely on such high precision processes employing new and existing materials to enable high quality surfaces. Besides the physical plasma assisted path to ALE employing Cl2 and Ar ions, the chemical path to ALE uses metal organic compounds and hydro fluoric acid, and recent research is focused on using tin(II) acetylacetonate and other beta-diketonates.

Understanding the complex dynamics of materials interactions are critical to the successful use of novel processes and materials in IC HVM. Challenges and opportunities relating to the affordable, controllable, and safe implementation of new materials will be presented in detail at the Critical Materials Conference 2016—open to the public May 5-6, in Hillsboro, Oregon—in conjunction with the private Critical Materials Council (CMC) meetings. For more info on TECHCET’s Report or to Register for the CMC Conference, please go to www.cmcfabs.org/seminars/ or contact cmcinfo@techcet.com

About TECHCET CA LLC

TECHCET’s work is focused on process materials supply-chains and materials technology trends for Semiconductor, Display, Solar/PV, and LED manufacturing industries. The company has been responsible for producing the Critical Material Reports for SEMATECH and the industry since 2000. This work continues to benefit the Critical Materials Council, now organized as CMC Fabs. For more info please go to: www.cmcfabs.org or www.techcet.com

Saturday, March 12, 2016

How to pronounce: Atomlagenabscheidung (German)


Great summary of the patterning options at 7nm

As many of you know, the insertion of multi patterning technology is driving the single wafer and multi wafer ALD equipment market enormously. The actually the market is predicted by many (Gartner, ASMi, VLSI) to double in the coming 2-3 years and many new players are entering ALD with new powerful ALD technology Lam Research, Applied Materials and Jusung Engineering have new platforms on the market targeting the multi patterning market and Veeco is developing new low temperature Fast Spatial ALD also targeting this market. Here is a collection of recent blog posts on this topic here at BALD Engineering ALD News Blog:

ASM International's CEO Chuck Del Prado on 2015 ALD results
Applied Materials to introduce a new system for Atomic Layer Deposition - Olympia™ ALD

Lam Research gets into the booming ALD business and doubles their install base 

Jusung Engineering launches SDP R2 Revolution-Rotation ALD System at SEMICON Korea
Veeco brings low temperature nitride Spatial FAST ALD to semiconductor manufacturing

From this point of view it is good to understand what the options are at 7nm where the number of multi patterning steps may be fore than a handful. Therefore a recommend you to read this article by Mark LaPedus in Semiconductor Engineering with the latest insights from SPIE 2016.

7nm Lithography Choices

Four possible scenarios for patterning the next generation of chips

March 7th, 2016 - By: Mark LaPedus
 
1. A chipmaker doesn’t insert EUV at 7nm, but rather it uses immersion/multi-patterning exclusively.
2. A chipmaker uses immersion/multi-patterning first. Then, EUV is inserted later in the flow where it makes sense.
3. A chipmaker inserts immersion/multi-patterning and EUV simultaneously.
4. A chipmaker uses an alternative technique, such as DSA and multi-beam.

Article:  http://semiengineering.com/7nm-lithography-choices/

Open Access for ALD Publications by Riikka Puurunen

Here is a very insightful blog by Riikka Puurunen on the options for Open Access publication opportunities especially for ALD.

Thoughts on Open Access, VPHA and figshare

A publication is meant to be public. Many are not, as the journals limit the access to the subscribers of the journals --- the content is published, but not publicly available.

Mid-February, I blogged about "Open research: How sharing can help researchers succeed", linking to an excellent manuscript-in-progress by McKiernan et al. that considers open research aspects from a researcher-centric approach. The manuscript triggered my thinking in many ways, I recommend reading it to others, too. In this blog post, I continue around Open Access and Open Science from my own ALD scientist's viewpoint and from the viewpoint of the VPHA ALD history project, ending with a question: Could we use figshare to share VPHA's material, other than journal articles? Are there other good options? Discussion on the topic is very much needed and welcome.
 

LG Innotek teams up with AIXTRON technology


According to a recent press release (see below) LG Innotek teams up with AIXTRON technology. The Korean electronic components specialist counts on AIX G5 WW system for the developmnt of silicon carbide epitaxial wafers 
 



AIXTRON SE (FSE: AIXA; NASDAQ: AIXG), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that one of Korea’s leading electronics companies, LG Innotek has selected a AIX G5 WW (Warm-Wall) reactor for the development of silicon carbide (SiC) epitaxial wafers aimed mainly at power devices for automotive and renewable energy applications. The system configured for 8x6-inch substrates was delivered in the fourth quarter 2015.  
 
 
 

Thursday, March 10, 2016

ASM International recieves Intel´s preferred quality suplier award

ASM International has been recognized as one of 26 companies receiving Intel Corporation's Preferred Quality Supplier (PQS) award for their performance in 2015. ASM International has demonstrated industry-leading commitment across all critical focus areas on which they are measured: quality, availability, technology, customer service, labor and ethics systems and environmental sustainability. 
 
 
 
ASM International is recognized for their significant contributions providing Intel with front-end deposition equipment for ALD, PECVD and Diffusion, viewed as essential to Intel's success.
 

Atomic Layer Lithography turns ALD into an angstrom-resolution gap-forming method

Here is a really cool paper on Atomic Layer Lithography! The method is a combination of "atomic layer lithography, which turns atomic layer deposition (ALD) into an angstrom-resolution gap-forming method" The combination of these two powerful methods can create ultrasmall coaxial nanocavities at extreme densities over an entire wafer, opening up the door to devices with sub-10 nm gaps"

High-Throughput Fabrication of Resonant Metamaterials with Ultrasmall Coaxial Apertures via Atomic Layer Lithography


Daehan Yoo, Ngoc-Cuong Nguyen, Luis Martin-Moreno, Daniel A. Mohr, Sol Carretero-Palacios, Jonah Shaver, Jaime Peraire, Thomas W. Ebbesen, and Sang-Hyun Oh

Nano Lett., 2016, 16 (3), pp 2040–2046 DOI: 10.1021/acs.nanolett.6b00024

Figure from graphical abstract used with permission (Account #: 3000915597)
We combine atomic layer lithography and glancing-angle ion polishing to create wafer-scale metamaterials composed of dense arrays of ultrasmall coaxial nanocavities in gold films. This new fabrication scheme makes it possible to shrink the diameter and increase the packing density of 2 nm-gap coaxial resonators, an extreme subwavelength structure first manufactured via atomic layer lithography, both by a factor of 100 with respect to previous studies. We demonstrate that the nonpropagating zeroth-order Fabry-Pérot mode, which possesses slow light-like properties at the cutoff resonance, traps infrared light inside 2 nm gaps (gap volume ∼ λ3/106). Notably, the annular gaps cover only 3% or less of the metal surface, while open-area normalized transmission is as high as 1700% at the epsilon-near-zero (ENZ) condition. The resulting energy accumulation alongside extraordinary optical transmission can benefit applications in nonlinear optics, optical trapping, and surface-enhanced spectroscopies. Furthermore, because the resonance wavelength is independent of the cavity length and dramatically red shifts as the gap size is reduced, large-area arrays can be constructed with λresonance ≫ period, making this fabrication method ideal for manufacturing resonant metamaterials.

Wednesday, March 9, 2016

Finland’s first satellite is protected by Beneq ALD

Beneq reports that about entering space with their ALD technology. Aalto-1 is a student satellite project, created by an interdisciplinary co-operation network within Aalto University, with the satellite's payloads developed in Technical Research Centre of Finland VTT, Helsinki University, Turku University and Finnish Meteorological Institute FMI. The team has members from five different departments of Aalto University. Additionally, a consortium of Finnish universities and space industry has been formed to support the satellite project, and international relations with several foreign universities have been created.


The historic rocket launch by the company SpaceX will carry the satellite into space later in spring 2016. In addition to Aalto-1, the rocket will bring a record number of nanosatellites into orbit at 600km.(http://www.aalto.fi/en/current/news/2016-03-02/)

On the satellite, there are areas that are not covered by solar cells or any other equipment, which still need protection. The solution is a two-layer coating, where the aluminium surfaces have first been anodized and then coated in the Beneq factory with indium tin oxide (ITO).

CMC Conference 2 Weeks Left for Early Registration!

“Critical Materials for Device Driven Scaling”

The Critical Materials Conference is a 2 day event providing actionable information on materials and supply-chains for current and future semiconductor manufacturing. Business drives the world, but technology enables semiconductor business, so we must understand the dynamics of how materials and technologies enable the scaling of devices in IC fabs. 


Conference speakers will provide information on critical materials used in HVM fabs, while also looking at manufacturing integration issues associated with new materials needed for future devices. Notable speakers from leading semiconductor fabricators, and materials companies, and leading market research firms will provide insights on this ever changing area of semiconductor process materials and markets.



2 Weeks Left to Register - Early Bird $349!

"Critical Materials for Device Driven Scaling"


Keynote Speaker
Tim G. Hendry
VP Technology & Manufacturing Group
Director, Fab Materials
Intel Corporation











"Material Requirements for the Future of Semiconductor Devices"


The Critical Materials Conference provides a structured framework to catalyze the flow of actionable technical and supply chain information related to critical materials.  Themes of the conference are centered around the needs of the Critical Materials Council (CMC) and the global IC fabrication industry. While executive conferences typically focus on the "what" and "why" of materials technologies, this conference will discuss "how" new materials can be controllably, safely, and cost-effectively used in fabs. The CMC Conference will also include market data to validate "when" materials will be used. Attendees from fabs, OEMs, and materials suppliers will network with peers and gain insights into best-practices of the entire supply-chain.


3 Key Sessions Focused on Semiconductor Materials and Technology:
I.   Global Issues and the Supply Chain
II.  Immediate Challenges of Materials & Manufacturing
III. Emerging Materials Challenges
Featuring Speakers from Semiconductor Materials Supply Chain:IC Fab Technologists, Materials and Equipment Suppliers, Market Analysts


Techcet LLC, PO Box 3056, Rancho Santa Fe, CA 92067
Sent by info@cmcfabs.org in collaboration with
Constant Contact

Monday, March 7, 2016

Fraunhofer IVV develops ultra barrier films using atomic layer deposition

According to a press release March 07, 2016 : The Fraunhofer Institute for Process Engineering and Packaging IVV will present its latest work on the manufacture of ultra barrier films for the flexible encapsulation of Organic Electronics (OEs) at the international LOPEC fair in Munich on 6-7 April 2016 (Hall B0, Stand 100). A plant for coating films by atomic layer deposition is currently being constructed at the institute. This will allow the Fraunhofer IVV to further reduce the permeability of films and offer new film products to industry.


Minimizing the permeability to water vapor and oxygen is the key to further successful optimization of high barrier films. Atomic layer deposition (ALD) is paving the way for this. This technology is suitable for roll-to-roll processes, so allowing high production efficiency. Furthermore the processing speed is similar to that of the vacuum processes currently used to manufacture the highest barrier films.



This new technology at the Fraunhofer IVV will be used for publicly funded R&D projects aimed at providing industry with customized solutions and R&D services in the area of process and material development.

The new atomic layer deposition technology coupled with the existing expertise of the Fraunhofer IVV in the area of high barrier films means that there are very promising opportunities for significantly improving the barrier properties of films and in the medium term meeting the barrier requirements for OLED encapsulation.

Sunday, March 6, 2016

ASML and IMEC EUV Progress at SPIE Advanced Lithography Conference 2016

EUV is making progress and to several reports it may be ready for 7nm. Here you can find a report in SemiWiki by Scotten Jones on "ASML and IMEC EUV Progress" from the recent SPIE conference (21-25 February 2016, San Jose, USA). According to the report, ASML has made clear progress in throughput:
  • ASML has 8 NXE 3300 systems in the field running at ~55wph. 
  • ASML has shipped NXE3350B systems with ~125wph performance. 
  • The NXE3400B will ship this year and is expected to be the production workhorse running at ~145wph.

In another paper "Comparison of EUV and 193i based patterning for advanced node integration" Imec compared EUV to current ArFi or 193ilithography for three cases showing a cost comparasion resulting in a win for EUV : LE3 > SADP > EUV.

Abstract: "In this work we compare the pattering integrity results of product like structures using EUV- and 193i-Lithography. Traditional 193i based lithography requires multiple litho-etch (LE) or pitch doubling techniques to reach sub resolution pitch. These however add additional films and steps in the pattering process, and introduces CD and overly variability. EUV offers the possibility of single print for advanced nodes with a reduced process flow. However EUV introduces pattering selectivity and uniformity challenges. The process flows, complexity and pattering results will be presented for EUV single exposure, 193i multiple Litho Etch (LE3), and 193i Spacer Assisted Double Pattering (SADP+Keep)."

According to Scotten Jones the detailed comparison was for these 3 cases:
  1. The litho-etch-litho-etch-litho-etch (LE3) process prints 42nm lines with 144nm pitch and then shrinks them with a total of 27 steps. 
  2. The SADP process creates 48nm lines on a 96nm pitch and then shrinks them with a total of 18 steps. 
  3. EUV creates 24nm lines in an 8 step process. 
"In summary EUV had the best overall performance, SADP + block was second best and LE3 the worst. The biggest issue for EUV was LER and he thinks that can be improved." concluded Scotten Jones

Too read further about SPIE I asloo recommend this piece by Ed Korczynski at Solid State Technology looking at many additional papers presented at SPIE 2016:

http://semimd.com/blog/2016/03/03/many-mixes-to-match-litho-apps/

Photochemical atomic layer deposition and etching - Open Access Review

Here is a interesting review paper on photochemical activation of ALD and ALE processes by Prof. Paul Chalker from University of Liverpool [Thanks to Henrik Pedersen for this one]. The paper presents a review of the exploitation of light in ALD to stimulate photochemical processes. The use of light for area selective deposition for patterning methods is also reviewed and finally the potential for photo-etching in Atomic Layer Etching is assessed.


A range of radiation sources, which emit radiation at wavelengths below the visible (λ < 400nm) spectrum and into the UVA (315–400 nm, 3.10–3.94 eV), UVB (280–315 nm, 3.94–4.43 eV) and UVC (100–280 nm, 4.43–12.4 eV) ranges. [Surface & Coatings Technology 291 (2016) 258–263 Under a Creative Commons license]

ALE is the reverse process of ALD, i.e., the controlled layer-by-layer removal of material. Two examples are give of previous studies an photochemical ALE:
  • Photo-induced atomic layer etching of GaAs has been demonstrated using molecular chlorine (Cl2) coupled with KrF excimer laser irradiation (λ = 248 nm, 5 eV).
  • Etching of the reconstructed silicon, Si(111) 7 × 7 surface  using KrF excimer laser irradiation with Cl2 as the etchant.

Photochemical atomic layer deposition and etching

P.R. Chalker
Surface & Coatings Technology 291 (2016) 258–263
Under a Creative Commons license

Conventional atomic layer deposition (ALD) is a thermo-chemical process that is now used extensively in the manufacture of ultrathin films. In addition to substrate heating, various forms of other “assisted” ALD processes are actively being developed, where supplementary energy is supplied for example, from a plasma discharge or from light. This paper presents a critical review of the exploitation of light in ALD to stimulate photochemical processes. The range of light sources that are exploitable for photochemical ALD processes is considered and the chemical mechanisms that are stimulated in the ultraviolet spectrum are interpreted. The use of light as an excitation source lends itself to area selective deposition using lithographic methods or focused beams. The exploitation of photochemical processes for the deposition of patterned ALD films is reviewed in the context of the current alternatives. Finally, the potential for photo-etching is introduced. Atomic layer etching is a complimentary process to ALD and the application of photochemistry in layer-by-layer subtraction processes is considered.

Thursday, March 3, 2016

The Critical Materials Conference (CMC) announcement of speakers

The Critical Materials Conference is a 2 day event, May 5-6 in Hillsboro, OR, has now announced speakers!

Please check the Conference web for all information: http://cmcfabs.org/seminars/


May 4 (Wed, night)
5pm to 7pm, Evening Welcome Reception

May 5 (Thurs) - Day 1

Session I: Global Strategic Initiatives and the Supply Chain
Gus Richard -Northland Securities
Risto Puhakka – VLSI Research
Lita Shon-Roy – TECHCET
Keith Long - USGS
Open
Session II: Immediate Challenges of Materials & Manufacturing

Jim Feldhan - SEMICO
Bruce Adams - TECHCET
Bassam Elkhatib - Texas Instruments
Rob Nine - Pall Corp.
Jeff Hemphill - Intel

Unusual Round Table
Evening Reception: 5pm – 7pm

May 6 (Fri) - Day 2 (1/2 day)

Session II, continued, Immediate Challenges
Jean Marc Girard, Ph.D. - Air Liquide
S.I. Lee - Veeco

Session III: Emerging & Revolutionary Materials
Jan Vardaman – TechSearch International
Jonas Sundqvist, Ph.D. – TECHCET
John Smythe – Micron
David Thompson, Ph.D. - Applied Materials
Max Kelman, Ph.D. – Aixtron
Dave Roberts, Ph.D. – Nantero
Dan Alvarez, Ph.D. - Rasirc

Panel Discussion & Wrap-Up


Hosted by TECHCET

Wednesday, March 2, 2016

The first speakers for ALD 2016 in Dublin are announced!

Speakers and Conference Programme

Conference Speakers:

We are delighted to announce the first speakers for ALD 2016:
Please click on the bold speaker names to view their profile.



Speaker  Organisation  Presentation title
Raymond Adomaitis University of Maryland
Sumit Agarwal Colorado School of Mines, USA Plasma Physics and Diagnostics
Seán Barry Carleton University, Canada ALD Precursor Design & Synthesis
Robert Clark TEL America
Byung Joon Choi Seoul National University of Science and Technology Multi-layered selector and switch devices enabled by atomic layer deposition for crosspoint memory
Mike Cooke Oxford Instruments
Annelies Delabie IMEC Atomic layer processing of 2D materials for beyond CMOS applications
Jolien Dendooven University of Ghent Synchrotron-based characterization of Pt ALD
Mickael Gross-Jean ST Microelectronics
Joseph Hupp Northwestern University
Ying-Bing, Jiang University of New Mexico
Keren Kanarik Lam Research, USA Overview of Atomic Layer Etching
John Langan Air Products
Anatollii Malygin St Petersburg State Technological Institute
Yongfeng Mei Fudan University
Fred Roozeboom TU Eindhoven, The Netherlands Processing for 3D-IC Technologies
Lars Samuelson Lund University Nanowire-based Technologies for Electronics, LEDs and Solar-cells
Massimo Tallarida ALBA Synchrotron, Spain Characterization of ALD processes and Materials using Synchrotron Light
Stephan Wege Plasway GmbH, Germany Plasma Processing Reactor Design
Further speakers, profiles and the conference programme will be published soon.  Please check back for information.

March! 1 month left to avail of EARLY BIRD offer for ALD2016 in Dublin.

March! 1 month left to avail of EARLY BIRD offer for #ALD2016 in #Dublin.  View speaker line up & book places here - http://ald2016.com/


Welcome to the 16th Atomic Layer Deposition Conference


The 16th International Conference on Atomic Layer Deposition (ALD 2016) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films. In every year since 2001, the conference has been held alternately in United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will incorporate the Atomic Layer Etching 2016 Workshop, so that delegates at the two events can interact freely. The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland.

Tuesday, March 1, 2016

Lam Research gets into the booming ALD business and doubles their install base

 Yesterday Lam Research was covered in an in a Morgan Stanley Technology Conference. Here is a full playback as a webcast for those interested. For all you ALD guys the interesting stuff come in the last minute. Lam Research usually not talk a lot about ALD but here they came with an interesting statement.

Joe Moore from Morgan Stanley, semiconductor group asked Douglas R. Bettinger, chief financial officer of Lam Research about their ALD progress. "... we are very pleased with the progress we are making in atomic level deposition as well as the atomic level etch, which was the topic of Rick Gottscho, our head of global products talk at SPIE last week. I think we described exactly a doubling of the installed base last year in ALD; multiple customers. It's an ALD Oxide tool. Very pleased with our performance here. Three years ago, we didn't have any business in ALD and now we've got a meaningful amount of revenue coming from it."
Doug Bettinger is chief financial officer of Lam Research.(Lamresearch.com)
The ALD oxide tool is most probably the Vector - a multi-chamber or rather a multi-station tool within a single vacuum chamber. So it is not Spatial like Tuomo Suntolas first one, the new Applied Materials Olympia or the Jusung Engineering tools it is normal temporal ALD.

Monday, February 29, 2016

The BALD Engineering blog is growing in Asia

ALD is booming despite a flat year for semiconductor equipment spending because of investments for 10 nm that mean additional layers of ALD space defined multiple patterning compared to 14 nm. The BALD Engineering blog is growing in Asia recently - makes sense that´s where those ALD Fabs are located! Still though the top three is USA, France and Germany.


Also very existing -  I am very proud to welcome NCD an ALD Equipment Manufacturer from South Korea - the first blog sponsor from Asia. More to come on NCD in the next days!



Sunday, February 28, 2016

WoDIM 2016 Abstract Submission, Catania, Italy 27-30 June 2016

WODIM 2016, the 19th Workshop on Dielectrics in Microelectronics, which takes place from 27-30 June 2016 at the Hotel Baia Verde, Aci Castello (Catania), Italy. This event is hosted by the Italian National Research Council (CNR) - Institute for Microelectronics and Microsystems (IMM), and celebrates the 10th anniversary of the last time the workshop was held in Italy. 

Abstract Submission

Please send your 2 page abstract (including figures) preferably by e-mail (MS Word or pdf file) to wodim2016@imm.cnr.it, or e-mail to the Chair.

During submission include with the abstract the author information form.

Please state your preference for oral or poster presentation, as indicated in the author information form.

Submissions will be acknowledged and final notification will be sent in mid April 2016.


Veeco brings low temperature nitride Spatial FAST ALD to semiconductor manufacturing

Some years ago Veeco acquired a company founded by SI Lee, Synos Technology, who shipped a first Prototype System for FAST-ALD™ for OLED encapsulation to Samsung in 2013 (here). Since then Veeco has moved on from OLED taking this technology to the semiconductor wafer based manufacturing. Now Veeco report that they have demonstrated high-quality silicon nitride films at temperatures below 250 degrees using their FAST-ALD technology on customer wafers. They have garnered interest from multiple memory manufacturers and have started expanding their customer engagements for FAST-ALD technology.



“First of all, strong customer pull because they had an unmet need to put down silicon nitride at very low temperatures. And so we've seen strong customer pull from the customers and we've developed the deposition tools for that market and are generating very good film quality, so the things that our customers have told us they can't get with other approaches.” said John Peeler Veeco CEO in a recent Q4 2015 Results - Earnings Call (transcript by Seeking Alpha, see below).
Low temperature silicon nitride ALD is one of the fastest growing layer applications scaling down node by node for both Logic and Memory devices. Low temperature nitride can be employed for multi patterning techniques and growth is due to take over from LPCVD and PECVD as well as for new layer applications. According to John Peeler Veeco is also exploring partnerships with existing front-end semi equipment providers to commercialize this technology.

“We are looking to do it with a partner who is already established in that market. But that's what's caused us to keep investing, and I think you are right, ultimately it is a win-win, because we are either going to drive revenue and profit from a whole new application which I think will be a big benefit to us, or we'll say, we're going to get out of this because we can't make it successful.” Said John Peeler.

“In terms of how our R&D investments are distributed across various product lines, we generally do not provide a granular view on that. However, we are investing in ALD as John talked about and we are quite optimistic about that.” Said Shubham Maheshwari, CFO Veeco.


Brooks Automation CEO Stephen Schwartz see ALD growth for 10 nm

According to a recent Earnings call with Brooks Automation CEO Stephen Schwartz they see growth for 10 nm and ALD. Many ALD OMEs uses Brooks vacuum robotics to cluster their wafer based ALD process chambers, Two such examples are Jusung Engineering and Picosun.

It is also interesting and for sure also fantastic to see how ALD is often reported as its own business segment these days. Here is a good example on how Brooks Automation segments the market to Deposition, Etch and ALD. PVD and CVD is just bunched together into deposition with sol-gel and other - those guys are just not cutting edge anymore.


 
Brooks Automation, Inc.'s (BRKS) CEO Stephen Schwartz on Q1 2016 Results - Earnings Call Transcript (Seeking Alpha, available here) :

“We forecast growth in March driven by the same products and design wins that propelled us in 2015. Processes like Deposition, Etch and ALD which utilize our vacuum automation technology have exploded due to the numerous three dimensional device structures that have taken over leading-edge memory and logic designs and we are in the center of this space.”