Showing posts with label Semiconductor. Show all posts
Showing posts with label Semiconductor. Show all posts

Thursday, December 17, 2020

EU Signs €145bn Declaration to Develop Next Gen Processors and 2nm Technology

Hey listen up ALD, Santa is coming - A lot of EU funds coming in bringing Europe back in the leading edge Semiconductor game. Thank you Matthieu Weber for sharing these wonderful news in these bad times.

In a major push to give Europe pride of place in the global semiconductor design and fabrication ecosystem, 17 EU member states this week signed a joint declaration to commit to work together in developing next generation, trusted low-power embedded processors and advanced process technologies down to 2 nm. It will allocate up to €145 bn funding for this European initiative over the next 2-3 years.

Full article in EE Times Europe: LINK

While I am at it, check the planned invest for Europe in the latest Semi equipment forecast. Yes I have double checked, Europe is rolling back into the game as we speak. Following 300 mm fabs are gearing up:

  • Globalfoundries Fab1 in Dresden Germany
  • STMicro Corlles in Grenoble France
  • Infineon Dresden, Germany
  • Infineon Villach, Austria
  • Bosch Sensortech Dresden, Germany
  • Intel Leixlip,


The following results reflect market size in billions of U.S. dollars. New equipment includes wafer fab, test, and A&P. Total equipment does NOT include wafer manufacturing equipment. Totals may not add due to rounding. Source: SEMI December 2020, Equipment Market Data Subscription

Wednesday, December 2, 2020

The global semiconductor market is projected to grow by 8.4 percent in 2021 according to WSTS Forecast

WSTS has released it latest forecast for the global semiconductor industry. The Worldwide Semiconductor Market is forecasted to be US$ 433 billion in 2020 - an increase of 5.1 percent from 2019- growth in all major product categories, except Optoelectronics and Discrete Semiconductors. The largest growth contributors are Memory with 12.2 percent, followed by Sensors with 7.4 percent. In 2020, Americas and Asia. Pacific regions are expected to grow.

2021, the global semiconductor market is projected to grow by 8.4 percent, driven by double-digit growth of Memory and Optoelectronics.




Tuesday, September 22, 2020

Semiconductor Materials Market to Hit $50B in 2020 Up 3% Winds Reverse on the Global Supply-Chain Seas

September 21, 2020: TECHCET announces that 2020 global materials revenues in semiconductor fabrication are now forecasted upward year-over-year (YoY) despite potential disruptions to manufacturing:

  • Overall revenues +2.8% to hit over $50B, versus outlook in April for -3%,
  • Front End Materials +5% to hit $16.4B, and
  • Equipment Components +10% to hit $3.8B.

While the impact of COVID-19 on the global economy is serious, IC fabrication is steady for devices to Work From Home (WFH) and School From Home (SFH). As predicted, leading-edge ICs to build out data centers are in strong demand this year, as part of forecasted 5.4% Compound Annual Growth Rate (CAGR) for fab materials through the year 2024 (Figure below).

“TECHCET now sees Front-End Materials volumes and revenues for the year 2020 to be buoyed up by cloud computing and devices to support Work From Home and School From Home,” remarked Lita Shon-Roy, TECHCET President and CEO.

For Critical Materials Reports™ and Market Briefings: https://techcet.com/shop/

To register for 2020 CMC Conference: https://lnkd.in/eARPxRJ


 

Tuesday, April 28, 2020

CMC Workshop Flags Looming Shortages of IPA and Sulfuric Critical Materials

Council (CMC) of semiconductor fabricators & suppliers is now meeting several times a month to mitigate potential supply-chain disruptions. The last meetings exposed likely shortages in iso-propyl alcohol (IPA) and sulfuric acid looming just over the business horizon due to the COVID-19 pandemic. The CMC has now opened attendance at monthly COVID-19 Briefings and Virtual Workshops to pre-registrants for the 2020 CMC Conference, happening October 22-23 in Hillsboro, Oregon. 
Fabs and suppliers say that the supply-chain for semiconductor-grade IPA has capacity to meet current global requirements (Figure). 
However, due to COVID-19, some of the industrial IPA supply-chain is re-directed to healthcare and consumer distribution, increasing overall demand. Spot prices for this critical material have reportedly increased a staggering 30% month-over-month (MoM). IPA depends on propene feedstock from oil refiners, and the current economic slowdown has reduced oil demand to such an extent that refineries are being idled. TECHCET has put up "cautionary flags" to watch for 2H20 shortages of IPA and sulfuric acid, as per the latest quarterly update to TECHCET's Wet Chemicals & Specialty Cleans analysis.

ABOUT TECHCET: TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™ for the Critical Materials Council (CMC), covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC subscription membership please contact info@techcet.com, +1-480-332-8336, or go to www.techcet.com

Monday, April 20, 2020

Report: Chip Demand to Drop 5% to 15% in 2020

[EE Times, Barbara Jorgensen Apr16, 2020] McKinsey expects sales demand in the global chip market will decline between 5% and 15% in 2020, with steep declines anticipated for some IC market segments that will overwhelm the gains it still expects in others.
 
The International Monetary Fund (IMF) on April 14 said it now expects global gross domestic product to shrink by 3% in 2020; in January, the IMF was predicting growth in global GDP of 3.3%. “This makes the Great Lockdown the worst recession since the Great Depression, and far worse than the Global Financial Crisis,” the IMF said.

Research firms IC Insights and Gartner have also revised their projections downward; IC Insights predicts a 4% drop for this year, and Gartner forecasts a 0.9% decline in semiconductor revenue.

Choppy Waters for Shipping $50B of Semiconductor Materials in 2020

Risky Sailing on the Global Supply-Chain Seas

San Diego, CA, Apr 17, 2020:TECHCET announces that:
 
• 2020 global material revenues in semiconductor manufacturing forecasted to decline by 3.0% year-over-year (YoY) despite growth in 1Q2020,
• Impact of COVID-19 pandemic on the global economy is creating choppy waters for shipping and supplying critical materials, as highlighted in recent Critical Materials Council (CMC) monthly meetings, and
• With a return of global economic growth by 2021, compound annual growth rate (CAGR) through 2025 is forecast at 3.5% as shown in the Figure (below).
 
 

“From our market research, materials suppliers are increasing production and sales to ensure safety-stock throughout the supply-chain in case there are further disruptions due to COVID-19 cases,” remarked Lita Shon-Roy, TECHCET President and CEO. “Even without further disruptions, we can already see leading economic indicators such as unemployment levels, metal prices and container shipping indices point toward a significant decline in global GDP.” This is supported by the International Monetary Fund’s (IMF’s) current outlook on 2020.

Currently, almost all chip fabs appear to be running at normal levels, with a few exceptions. During this difficult period, YMTC in Wuhan, China reportedly has maintained R&D and grown production of 3D-NAND chips. However, chip fabs in Malaysia report that the government required companies to request permission to continue operating at 50% staffing levels. One company in France had to temporarily reduce production due to their labor union insisting on temporary workforce reductions.

Significant value-added engineered materials including specialty gases, deposition precursors, wet chemicals, chemical-mechanical planarization (CMP) slurries & pads, silicon wafers, PVD/sputtering targets, and photoresists & ancillary materials for lithography are reporting healthy orders and in some cases will see better than expected revenues for 1Q2020 and April 2020. However, more than 60% of all materials are expected to be negatively impacted before year-end.

Overall demand for commodity materials, such as silane and phosphoric acid, is expected to decline YoY in 2020 by an average of 3% due to softening of the global economy. Average selling prices (ASP) for electronic-grade commodities may drop due to cost reductions in feed-stocks; for example, the global helium (He) gas market which had been forecasted to be in shortage with high ASPs throughout 2020 has already improved due to COVID-19 slowing down helium demand.

DRAM, 3D-NAND, and MPU chips for server / cloud-computing applications are now in high demand for virtual meetings and remote work. It is yet unclear how much of an increase in materials shipments will be needed to support this segment, however from TECHCET’s modeling of prior cycles it will likely be >7%. Despite such an increase in the materials used to make leading-edge ICs to build out data centers, shipments in support of legacy node IC fabrication are expected to decline this year.

Consequently, cloud-computing growth may not compensate for overall reduced semiconductor materials demands caused by economic downturns this year. By 2021 the global economy and all chip fabs should return to healthier growth, with materials markets for all IC devices expected to increase at a CAGR of +3.5% through 2025.

Critical Materials Reports™ and Market Briefings: TECHCET Shop
CMC Events: Click here to view all Events

Saturday, November 23, 2019

Cobalt and Nickel Targets Super Strategic for IC Fabs

[Press Release, TECHCET LLC] San Diego, CA, November 14, 2019: TECHCET-the advisory services firm providing electronic materials information- announced that the global market for Physical Vapor Deposition (PVD) Sputter Targets is declining by 1.5% in response to semiconductor fabrication market challenges in 2019. However, 5% growth is forecasted for 2020, with the non-precious-metal segment expected to reach US$690 million. 
 
 
Including precious metals the 2020 Sputter Target market is expected to reach US$1,084 million, as detailed in the latest Critical Materials Report™ (CMR) quarterly update on Sputter Targets (see Figure). This report covers the following suppliers: Furuya Metals, GO Element, Grikin, Honeywell, JX Nippon, KFMI, Materion, Pioneer Materials, Praxair/Linde, Sumitomo, Tanaka, Top Metal Materials, Tosoh SMD, Solar Applied Materials Technology, Umicore, VEM, and Vital Materials.

Purchase Reports Here: https://lnkd.in/dn7euVg

Monday, November 18, 2019

2020 CMC Conference & Call For Papers

San Diego, CA, November 12: The Critical Materials Council (CMC) of semiconductor fabricators and TECHCET announce the CMC Conference Call For Papers (CFP) for the event happening April 23-24 in Hillsboro, Oregon. The 2020 CMC Conference (https://cmcfabs.org/cmc-conference-2020/) will explore actionable technical and supply-chain trends related to critical materials for global semiconductor fabs. Keynote address for this 5th CMC Conference will be:

"Critical Materials Pushing the Limits for Semiconductor Manufacturing"

Bruce Tufts, Vice President of Technology and Director of Fab Materials Org., Intel Corp. 

The conference committee is soliciting presentations on best practices of sourcing direct and indirect manufacturing materials for pilot lines and for high-volume manufacturing (HVM). Three sessions will cover the following themes:

I. Global Value-chain Issues of Economics and Regulations,

II. Immediate Challenges of Materials & Manufacturing, and

III. Emerging Materials in R&D and Pilot Fabrication.


CMC member companies will be attending the public CMC Conference, which follows the annual members-only CMC meeting to be sponsored by Intel and held April 21-22. Conference attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. Business drives our world, but technology enables the profitable business of manufacturing new devices in IC fabs, and new devices need new materials.

To submit a paper for consideration, please send a 1-page abstract focusing on critical materials supply dynamics by January 15, 2020 to cmcinfo@techcet.com


----------
For more information on CMCFabs or CMC Associate Memberships, please contact Diane Scott at dscott@techcet.com. For information on sponsoring the CMC Conference please contact Yvonne Brown at ybrown@techcet.com, +1-480-382-8336 x1.

CMC Fab members include:



Intel to Reclaim Number One Semiconductor Supplier Ranking in 2019

According to IC Insights, Intel is to reclaim the number one semiconductor supplier ranking in 2019 from Samsung due to the downturn in Memory (DRAM and NAND). The top 3 memory suppliers (Samsung, SK Hynix, and Micron) are according to IC Insights forecast to register ≥29% year-over-year declines in 2018 with SK Hynix expected to decline the most by a 38% down in sales 2019.


The expected top 15 semiconductor (IC and O-S-D—optoelectronic, sensor, and discrete) sales ranking for 2019 is shown above.  It includes six suppliers headquartered in the U.S., three in Europe, two each in South Korea, Japan, and Taiwan.

Source: IC Insights (LINK)

Thursday, January 10, 2019

Semiconductor Materials Market will be +3% to $50.4B in 2019

TECHCET-the electronic materials advisory services firm providing business and technology information- announced that global revenues for semiconductor manufacturing and packaging materials are expected to grow 3.1% year-over-year (YoY) in 2019 to US$50.4B, of which 58% represents semiconductor fab materials. Steadily increasing demand for memory chips in 2018 lifted total materials market revenues to US$48.9B in 2018, while the compound annual growth rate (CAGR) through 2023 is forecast at 4.3% as detailed in the latest TECHCET Critical Materials Reports (CMR) and shown in the attached figure.


Global trade issues in 2018 run the risk of devolving into real trade wars, if governments and companies do not negotiate business terms from a place a mutual respect. "Wars can only have winners and losers," reminded Lita Shon-Roy, TECHCET President and CEO. "While issues can have win-win resolutions after mutually-respectful negotiations." 


At the 2018 Critical Materials Council (CMC) Seminar, held last October in Ningbo, China in coordination with China's IC Materials Technology Innovation Alliance (ICMtia), representatives of global chip-makers including Intel, GlobalFoundries, and Texas Instruments discussed ways to ensure electronic materials supply-chain robustness in an era of short-sighted protectionist tariffs. All three companies have high-volume manufacturing (HVM) fabs in mainland China along with the US, and all need to source a wide range of specialty materials from global suppliers.

During private face-to-face meetings between CMC fab members in Ningbo, held just after the public CMC Seminar, ON Semiconductor shared that they have a plan prepared to deal with tariffs goings into effect at different levels. Established HVM chip fabs must keep sourcing specialty materials regardless of political whims, because our modern world relies on a steady supply of semiconductor devices to maintain our communications, entertainment, health-care, and transportation infrastructures.

Critical Materials Reports™ and Market Briefings: https://techcet.com/shop/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business unit of TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact info(at)cmcfabs.org, +1-480-332-8336, or go to http://www.techcet.com or http://www.cmcfabs.org.

Friday, October 26, 2018

$70m lab for next-generation semiconductors at National University of Singapore with Applied Materials

 Picosun ALD Tool in the NUS clean room
 
[NUS News LINK] NUS and Applied Materials — the world’s top semiconductor and display equipment company, and a leader in materials engineering solutions — have jointly established the Applied Materials-NUS Advanced Materials Corporate Lab, supported by the National Research Foundation (NRF). This $70 million collaboration, Applied Materials’ first university-based research laboratory, will focus on research and development (R&D) with the aim of developing and quickly commercialising innovative materials for manufacturing next-generation semiconductors.
From left: Vice President & Regional President (SEA) of Applied Materials Mr Brian Tan, Dr Raja, Mr Heng, NUS Chairman Mr Hsieh Fu Hua, NRF CEO Prof Low Teck Seng and Prof Tan officially launching the laboratory
Materials engineering has helped enable major advancements in semiconductor devices over the years, said Dr Prabu Raja, Senior Vice President at Applied Materials. “However, new applications in artificial intelligence require orders of magnitude improvements in chip performance and power efficiency. Materials innovation will have a critically important role in achieving these improvements,” he noted in his speech at the official launch of the laboratory on 25 October.


Saturday, July 7, 2018

ALD/CVD Precursors Market Reaches $1.3B by 2023

SAN DIEGO, July 6, 2018 /PRNewswire-iReach/ -- TECHCET—the advisory services firm providing electronic materials information— announced that strong growth in IC fabrication demand for atomic-layer deposition (ALD), chemical-vapor deposition (CVD), and spin-on dielectric (SOD) precursors should result in a combined global market size of US$1.3 billion by the year 2023. Specifically, metal precursors are expected to see a compound annual growth rate (CAGR) of 6.2 percent through 2023 starting from $460 million in revenues for this year, as detailed in the latest TECHCET Critical Materials Report (CMR). The market for dielectric materials is forecasted to be $465 million this year with CAGR of 8.2 percent through 2023, as detailed in the latest CMR. 


"Plasma enhanced CVD and ALD processes drive increasing demand for silicon precursors, used in depositing the 32-72 layers of 3D-NAND chips and in self-aligned multiple patterning for advanced logic and memory chips," explained Dr. Jonas Sundqvist, TECHCET senior analyst and author of the report. "We see a need for more advanced ALD/CVD precursor production in China, to support more leading-edge logic and memory fabs ramping production there."

Cobalt (Co) metal is being used to encapsulate copper on-chip multi-level interconnects in the most advanced logic fabs for both foundries and IDMs. Intel is using full cobalt interconnects to replace some of the copper levels in it's newest logic chips. The conservative demand forecast for cobalt metal in the form of ALD/CVD precursors for logic IC fabs is $25 million in 2018, with considerable growth to $70 million by 2023.

Due to the competitive demand for cobalt needed for the lithium batteries used in EVs, and due to conflict issues in the supply-chain, cobalt metal pricing is volatile and reliable forecasting is correspondingly difficult. To anticipate supply:demand imbalances, TECHCET tracks ALD and CVD precursor demands in competing high volume manufacturing (HVM) industries such as flat-panel display, photovoltaics, MEMS, and LED.

Suppliers covered in this report include: Adeka, Air Liquide, Azmax part of Azuma group, BASF, DNF "Dream New Future", Dow Corning, Digital Specialty Chemicals (DSC), DowDuPont, Entegris, Epivalence, FujiFilm, Gelest, H.C. Starck, Kojundo Chemical Laboratory, Merck's EMD Performance Materials, Nanmat Technology, Norquay Technology, Nova-Kem, Nanogen Solutions, Pegasus Chemicals, Praxair, Soulbrain, STREM, TCI Chemicals, Tri Chemical Laboratories, Umicore, UP Chemical, Versum Materials.

Purchase Reports Here: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory service firm focused on process materials supply-chains, electronic materials technology, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the SEMATECH Critical Material Reports, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. For additional information about these reports or CMC Fabs membership please contact Diane Scott at info@cmcfabs.org +1-480-332-8336, or go to www.techcet.com or www.cmcfabs.org.

Media Contact: Lita Shon-Roy, TECHCET CA LLC, 1-480-382-8336, info@techcet.com

News distributed by PR Newswire iReach: https://ireach.prnewswire.com

Wednesday, February 7, 2018

CRITICAL EVENT FOR SEMI MATERIALS - April 26-27 Phoenix

The Critical Materials Council (CMC) Conference is a 2-day event, happening April 26-27 in Phoenix, AZ, providing actionable information on materials and supply-chains for current and future semiconductor manufacturing. Speakers from CMC Members such as GlobalFoundries, Intel, Samsung, and TI, along with analysts and experts from OEMs and materials-suppliers will explore issues associated with using materials such as cobalt and ruthenium in commercial fabs. Evening receptions and lunch allow for networking time with industry leaders.

Keynote speaker David Bloss, VP of Technology and Manufacturing Group, and Director of Lithography Technology Sourcing in Global Supply Management, Intel Corp., will start the three-session event exploring:

* Updates on market dynamics and government regulations,
* Trends in the profitable control of all fab materials, and
* Technology forecasts for future critical materials.

Join us in the Phoenix area: http://cmcfabs.org/cmc-events/
 


Saturday, January 6, 2018

Lam Research and Tokyo Electron took market shares in 2017

Currently the fabs are running hot and expanding and 2018 is expected to continue to grow according to OEMs and market research companies like o VLSI Research (CEO Dan Hutcheson, see below). Solid State Technology reports, based on recent market research by The Information Network (LINK) that Market leader Applied Materials lost market shares in 2017 to the main competitor Tokyo Electron and Lam Research.

"Applied Materials 1.3 share points, dropping from 28.2% in 2016 to 26.9% YTD (year to date). Gaining share are Tokyo Electron Ltd. (TEL), which gained 2.4 share points while rising from 17.0% in 2016 to 19.4% in 2017 YTD. Lam Research gained 1.6 share points and growing from a 19.0% share in 2016 to a 20.6% share in 2017 YTD."


The three companies compete in the following areas with huge growth due to the memory boom in 2017 (3DNAND and DRAM):

  • conductor and dielectric etch equipment
  • deposition equipment - single/multiwafer ALD and CVD
CVD equipment share is roughly 3X that of ALD and ALD passed PVD in 2015 (according to VLSI Research). Furnace ALD and CVD is dominated by Tokyo Electron and Kokusai, however it is a smaller segment as compared to single and multi wafer ALD and CVD. ASMI, the leader in ALD single wafer equipment does not seem to have been able to grow with memory, down from 2.0% to 1.7%.

Please find the full article here: LINK

 


Friday, January 5, 2018

Memory chips led the way in 2017 boosting a 22% record semiconductor growth in revenue

Memory chips (DRAM & FLASH) led the way in 2017 boosting a 22% record semiconductor growth in revenue. Samsung Electronics became the number 1 in overall semiconductor sales for the first time, displacing Intel, which had held the top spot in sales every year since 1992. 



EE Times reports : Semiconductor sales grew by 22 percent to reach a record $419.7 billion — with memory chips leading the way — according to a preliminary estimate by market research firm Gartner.

Gartner (Stamford, Conn.) estimates that increased sales of memory chips due to shortages of NAND flash and DRAM accounted for about two-thirds of overall chip market growth in 2017. Memory also become the single largest semiconductor products category last year, according to the firm.

Full story: LINK


Gartener 2016 to 2017 revenue change for Top 10 Semiconductor companies [replotted]

Wednesday, January 3, 2018

Get back to work - SEMI projects continued boom in fab equipment spending for 2018

MILPITAS, Calif. ─ January 2, 2018 ─ The year-end update to the SEMI World Fab Forecast report reveals 2017 spending on fab equipment investments will reach an all-time high of $57 billion. High chip demand, strong pricing for memory, and fierce competition are driving the high-level of fab investments, with many companies investing at previously unseen levels for new fab construction and fab equipment. See figure 1.
World Fab Forecast Figure 1
Figure 1


The SEMI World Fab Forecast data shows fab equipment spending in 2017 totaling US$57 billion, an increase of 41 percent year-over-year (YoY). In 2018, spending is expected to increase 11 percent to US$63 billion.

While many companies, including Intel, Micron, Toshiba (and Western Digital), and GLOBALFOUNDRIES increased fab investments for 2017 and 2018, the strong increase reflects spending by just two companies and primarily one region.

Friday, July 29, 2016

TECHCET Reports Record Silicon Wafer Shipments

TECHCET reports - The silicon demand outlook for 2016 expected to increase of 6.8% to 11.1 BSI, largely due to the strength of the memory market. Regardless of overall wafer consumption, Issues with wafer supply will likely continue, as demand for 300mm polished wafers increases beyond capacity, while epitaxial wafer supply at that diameter remains in excess. Certain 200mm wafers are also in a tight supply situation given strong demand growth from the discrete device fabs coupled with limited supplier capacity, as explained in by Mike Walden, of TECHCET CA. Their recent report further indicates that declining ASPs are expected as competition for China's 200mm wafer demand increases and the 300mm market continues its evolution toward polished wafer usage. 
 
 

Although shipments of silicon by area recovered after 2009, prices have still not recovered to 2008 (pre-US housing / WW credit crisis) levels. Silicon area shipments were relatively flat from 2010 through 2013 before rising 10% in 2014. Meanwhile, silicon revenue peaked in 2010-2011 before declining sharply in 2012 and 2013 to begin 4 stagnant years. TECHCET expects aggregate Si ASPs to fall slightly in 2016 before firming or modestly increasing in 2017. Also noted by Walden, "China will have a major influence on this market in the years to come".

For more detailed information and added insight on SOI, China and 450mm wafers, the reader is referred to TECHCET's Critical Materials Report(TM) on the Silicon Wafer Market and Supply Chain.
For more information, please contact info@techcet.com 
or call 1-480-382-8336
 
* Interested in learning more about Membership to the
Critical Materials Council and/or China's supply chain? 
Join the November CMC Meeting in China!

Wednesday, June 22, 2016

Hydrogen Peroxide Gas Delivery for ALD, Annealing, and Surface Cleaning in Semiconductor Processing

In order for IDMs and Foundries to follow Moore’s Law, semiconductor engineers have been forced to continuously shrink semiconductor device dimensions, so that some barrier layers are as thin as 3 atoms. Semiconductor processes affected by shrinkage include atomic layer deposition (ALD), annealing, wafer cleaning, thermal oxidation, thin film growth, etching, and interface layer passivation. Present materials used in semiconductors can breakdown at this atomic scale and must be replaced by new materials to meet low power consumption, high performance and low cost targets. These new replacement materials come with their own set of process challenges.

Atomic Layer Depostion


ALD has been used in high-volume semiconductor manufacturing since 2004 [1] and according to Chuck del Prado, CEO of ASMi, one of the world-leading companies in the field [2]:

“ALD is now firmly established as a key enabling technology. Today, ALD has become a critical technology for the manufacture of virtually all leading-edge semiconductor devices. The leading customers in our industry have already ramped several device generations based on our ALD equipment – for high-k metal gate applications in logic and foundry and for multiple patterning applications in the memory sector.”
 

The 3D challenge in high aspect ratio structures


The new atomically ultrathin films are more sensitive to environmental conditions than thicker structures from past design nodes. Precise cleaning and preparation is required to prevent atoms from straying into other layers. Complicating the process is that these layers are no longer planar, but are three dimensional shapes with very high aspect ratios approaching 150:1 for DRAM memory cell capacitors and 3DNAND flash memory charge trap devices, creating inverted skyscrapers on an atomic layer.


Samsung presented a low cost manufacturing of 20 nm DRAM and beyond at IEDM2015 using honeycomb structure narrow gap air-spacer technology (left). For visualisation, here (right) the advanced High Aspect Ratio etch and ALD that is required for 3DNAND flash memory manufacturing in a reverse engineering cross section by Chipworks from a SAMSUNG V-NAND Flash array.
Processing at the bottom of these extremely deep structures is nearly impossible. There are two main challenges:
  1. Chemicals must be stable enough to reach the bottom, but reactive enough to be effective when they contact the bottom target site.
  2. Low temperatures are needed to prevent migration of atoms in and out of the layers, so the chemicals must be active at low temperatures.
Chemicals used today for thin film oxidation do not meet these manufacturing challenges. This has forced R&D engineers to look for alternatives. The range of oxidants in use today include water, ozone and O2 plasma. Yet, in one way or another, all of these oxidants are deficient for fabrication of these new device structures under atomic level constraints. To address these challenges, RASIRC has developed a new technology that enables the common liquid oxidant, hydrogen peroxide, to be converted into a controlled and repeatable oxidant gas. This new product is called the Peroxidizer®. 
 

Hydrogen Peroxide Gas (HPG)

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box—converting common liquid chemistries into safe and reliable process gas on demand.. First to generate ultra-high purity (UHP) steam from de-ionized water, RASIRC technology can now also deliver hydrogen peroxide gas in controlled, repeatable concentrations.

Hydrogen Peroxide Gas (HPG) is a powerful and versatile oxidant for processing new materials and 3D structures. HPG is now available in stable, high concentration and offers significant benefits to ALD, annealing and cleaning applications. The Peroxidizer is an order of magintude improvement over its predecessor and overcomes the limits of pre‐humidification and high concentration H2O2 liquid supply by concentrating liquid inside the vaporizer. It handles gas flows of 5 to 30 slm in vacuum or atmospheric conditions. It delivers H2O2 concentrations from 12,500 to 50,000 ppm, which equates to 1.25 to 5% gas by volume. The Peroxidizer delivers a 4:1 water to Peroxide ratio. This is not possible with other high temperature vaporization methods due to H2O2 decomposition.

The membrane used in the vaporizer preferentially vaporizes H2O2 relative to water. This allows the concentration to stay below 75% and 90°C in the vaporizer while being able to generate 50,000 ppm. The fab only needs to supply 30% w/w, which is already in use throughout most facilities.


The above frames illustrate the Peroxidizer concentration process. At top, vaporizer is filled with 30% w/w H2O2. As move to the bottom, carrier gas passes through vaporizer solution and water vaporizes preferentially. Last frame shows that solution has reached mass balance and stable, high concentration H2O2 can be sent to process.

Hydrogen peroxide is a hazardous chemical and must be handled properly to prevent exposure of operators to unsafe chemical conditions. With proper design, installation, and operator training, hydrogen peroxide can be a viable alternative to other oxidants. The Peroxidizer includes a range of safety features focused on temperature, concentration, pressure, liquid and gas leak detection, venting and liquid handling. 

H2O2 is auto‐refill capable. If a continuous supply of 30% H2O2 liquid is available, the Peroxidizer can run 24/7. For R&D, the Peroxidizer can be manually refilled with an internal source container to run 4 to 24 hours depending on flow rate.
  • Primary interlock loop will shutoff power when any of a number of safety conditions occur.
  • Temperature safeties include redundant thermal interlocks with thermal switches for heaters.
  • H2O2 liquid and headspace temperatures are interlocked into the safety control loop.
  • Concentration safety features include level sensors for overfill and low liquid conditions. If liquid level is too low, an alarm is displayed and carrier gas turned off to prevent further liquid concentration.
  • Pressure safety features include direct pressure monitoring, pressure relief, and direct vent lines to channel high pressure vapor directly to scrubbed exhaust in case of overpressure conditions.
  • Leak safety features include a flood sensor to detect liquid leaks.
  • The system is ducted for exhaust ventilation to prevent HPG exposure in case of H2O2 liquid or gas leak. A ventilation pressure switch will trigger the interlock loop if ventilation is not adequate. A ppm HPG monitor is recommended in the exhaust ducting.
  • The drain line has a float switch to monitor for drain back up.
  • An optional condenser is available to condense HPG and water vapor before it goes to vent. Alternatively, scrubbers can be used to convert HPG directly to oxygen and water. 
To learn much more about the operating principles and process demonstration results from the HPG technology you can download a paper here: „Hydrogen Peroxide Gas Delivery for Atomic Layer Deposition, Annealing, and Surface Cleaning in Semiconductor Processing“, By Jeffrey Spiegelman, Russ Holmes and Zohreh Shamsi [Link] 
Dan Alvarez, CTO of RASIRC, will be presenting a poster entitled „Hydrogen peroxide gas for improved nucleation and initiation in ALD“ at The 16th International Conference on Atomic Layer Deposition (ALD 2016). He will also be presenting a paper entitled „Novel anhydrous hydrazine delivery for low temperature silicon nitride passivation of SiGe(110)“. RASIRC will also have an exhibit at stand 48. This will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films. The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland. This is an excellent opportunity to meet Dan Alvarez and RASIRC founder and President Jeff Spiegelman to learn more about ther exciting HPG technology. 
Dan Alvarez CTO (left) and RASIRC founder and President Jeff Spiegelman (right).  

Refernces
[1] “2004 -The Year of 90-nm: A Review of 90 nm Devices”, Dick James, Chipworks Inc. Advanced Semiconductor Manufacturing Conference and Workshop, 2005 IEEE/SEMI, Munich, Germany.]
[2] ASMi Annual Reporting (2015)  

·