Showing posts with label Conferences / Workshops. Show all posts
Showing posts with label Conferences / Workshops. Show all posts

Thursday, February 10, 2022

ALD for Industry 2022, 29-30 March 2022 in Dresden

The 5th „ALD FOR INDUSTRY“ workshop will again show the bridge between basic science, industrialisation and commercialisation of this technology. According to a research study, „The global Atomic Layer Deposition Equipment (ALD) market is expected to reach USD 8.2 billion by 2026, growing at a CAGR of 28% from 2020 to 2026 layer, excellent adhesion of high aspect ratio surfaces and pinhole-free coatings.“


Interest in industrial solutions for Atomic Layer Deposition is increasing. This opens up new possibilities for innovative materials and designs in a wide range of applications. ALD is one of the best deposition methods for producing conformal and thin films. Furthermore, it is a key technology for the semiconductor industry, but not only for the semiconductor industry. Atomic layer deposition, is a thin film technology that enables new and highly innovative products for a wide range of applications.

In 2022 the EFDS will organize the 5th workshop „ALD FOR INDUSTRY“ in Dresden (Germany), at one of the focal points of European thin-film technologies in industrial applications and research. „ALD for industry provides the opportunity to get in touch with industrial and academic partners, to learn more about fundamentals of ALD technology and get informed about the latest research results on this topic.

The Workshop will focus on the currents markets for ALD and address the applications in Semiconductor industry, MEMS &Sensors, Battery technology, Medical, Display, Lightning and Photovoltaics.

EXHIBITION

You have the right products and services for our community? Come to the event and present your expertise.
At the exhibition you will have a table and space for one roll-up. During all breaks you will have the opportunity to get in discussion with the participants and speakers.

If you are interested, please contact info@efds.org with the comment „ALD for Industry“.

PROGRAM


Thank you to all speakers and the Program Committee. The Program for our Workshop 2022 is prepared. For more details please open the link above.

Program Committee 2022
Quentin Demarly, Air Liquide Advanced Materials, Paris, France
Dr. Christoph Hossbach, Picosun Oy and Picosun Europe GmbH, Dresden, Germany
Dr. Martin Knaut, IHM, TU Dresden, Germany
Prof. Mikko Ritala, University of Helsinki, Helsinki, Finland
Dr. Jonas Sundqvist, BALD Engineering AB, Wärmdö, Sweden
Dr. Claudia Wiemer, CNR IMM, Unit of Agrate Brianza, Italy

HOTEL RECOMMENDATION

114 EUR single room, including breakfast
Canceling free of charge until 7 days before the event
Code: ALD

Monday, February 7, 2022

Abstract submission - Atomic Layer Processing Modelling Workshop 15-16 March Sweden

The abstract submission and registration for our Atomic Layer Processing Modelling Workshop 15-16 March is now open at the conference website 2022 Atomic Layer Processing Modelling Workshop - Linköping University (liu.se) 

Join us in Linköping if the pandemic allows and/or online to discuss how to model atomic layer processes. No registration fee!


Thursday, November 11, 2021

Call for abstracts - AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) in Ghent, Belgium

 

Call for Abstracts
Due February 3, 2022
The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2022 Workshop (ALE 2022), so that attendees can interact freely. The conference will take place Sunday, June 26-Wednesday, June 29, 2022, at the International Convention Center (ICC) Ghent in Ghent, Belgium.

As in past conferences, the meeting will be preceded (Sunday, June 26) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, June 27-29) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.
Key Deadlines:
Abstract Submission Deadline: February 3, 2022
Author Acceptance Notifications: March 16, 2022
Early Registration Deadline: May 15, 2022
Hotel Reservation Deadline: May 25, 2022
JVST Special Issue Deadline: November 1, 2022
ALD Program Chairs
 
Program Chair:
Christophe Detavernier
(Ghent University, Belgium)

Program Co-Chair:
Jolien Dendooven
(Ghent University, Belgium)

Program Co-Chair:
Paul Poodt
(TNO/Holst Center,
The Netherlands)
ALE Program Chairs

Program Chair:
Erwin Kessels
(Eindhoven University of Technology, The Netherlands)

Program Co-Chair:
Harm Knoops
(Oxford Instruments,
The Netherlands)

Program Co-Chair:
Jean-Francois de Marneffe
(imec, Belgium)

2021 November Networking - ALD at Aalto University

Date and time: Fri 26.11.2021 starting at 13 Event will be held at Aalto CHEM, Kemistintie 1, Espoo and online in Zoom at https://aalto.zoom.us/j/68826769819 (password to be sent to registered participants via email a few days before the event)


Programme consists of (1) lectures (can be followed in Zoom): 
Brief introduction to ALD, Invited guest talks (Prof. Barry, Prof. van Ommen, Prof. Malygin), update of the Virtual Project on the History of ALD (VPHA); and (2) posters by local ALD research (not in Zoom) ("local" may be broadly interpreted).

Registration DL for participation with posters: Sun 14.11.2021. The number of posters may need to be limited; "first come, first serve".

Registration DL for local in-person participation: Mon 22.11.2021. The number of participants may need to be limited; "first come, first serve".

Registration DL for Zoom participation: Wed 24.11.2021. The number of participants might need to be limited (but likely not); if limiting is needed, "first come, first serve".

Organizing committee: Prof. Riikka Puurunen (chairperson), Dr. Aitor Arandia Gutierrez, Dr. Jorge Velasco, M.Sc. Jihong Yim. All from Aalto University, School of Chemical Engineering, Department of Chemical and Metallurgical Engineering, Catalysis research group.



Tuesday, October 5, 2021

Call for Abstracts - 6th Area Selective Deposition Workshop (ASD 2022)


Call for Abstracts, Due February 7, 2022

An effort to help facilitate the progression of ASD techniques, the 6th Area Selective Deposition Workshop (ASD 2022) scheduled for April 21-22, 2022, in San Francisco will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges. Based on the success of the previous workshops, ASD 2022 will consist of two days of presentations by invited and contributing speakers, as well as a banquet reception and poster session.

Topics:

This event is centered on showcasing developments across the whole spectrum of area-selective deposition. Thus, the Workshop will cover a wide range of topics including the following:

· Near Term Technology Readiness (Scalable and Relevant ASD Processes for Use in Manufacturing)
· Fundamentals of ASD (Defects, Growth Mechanisms and Inhibitor Chemistries)
· Metrology Techniques for ASD
· Emerging/Exploratory ASD Processes and Applications (e.g., Catalysis, Energy Generation and Storage)


Key Deadlines:

Call for Abstracts Deadline: February 7, 2022
Author Acceptance Notifications: February 21, 2022
Hotel Reservation Deadline: March 4, 2022
Early Registration Deadline: March 4, 2022


Program Chair:

Rudy J. Wojtecki
IBM Almaden Research Center, USA
Questions? Contact rjwojtec@us.ibm.com







Wednesday, September 29, 2021

Status & Challenges in Today's Atomic Layer Processing Market J. Sundqvist (Keynote TECHCET LLC CA)

ALD at ECS is coming up and it is for free! Besides all the interesting sessions on ALD there is one on ALD Present and Future. I look forward to meet you there in Florida and give one of the Keynotes on Status & Challenges in Today's Atomic Layer Processing Market followed by much more interesting talks by Stacy Bent (Stanford University) and Mattia Pasquali (Imec)


Monday, 11 October 2021


Wednesday, June 30, 2021

The ALD conferences for the next coming years were just announced!

The AVS ALD and ALE conferences for the next coming years were just announced!

2022 - Ghent, Belgium
2023 - Bellevue, Washington, USA
2024 - Helsinki, Finland

2024 is the year when ALD celebrates 50 years since Dr Suntolas famous patent and also celebrates all great ALD persons that turn fifty that year. 




Thursday, May 20, 2021

Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021

Get ready for ALD/ALE 2021 and don´t miss new record-breaking fast ALD using 3D printed ceramic de Laval Rocket nozzle technology by Plasway, Fraunhofer IKTS and BALD Engineering.

♦ Realization and Dual Angle In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

♦ we use two fast scanning, with ≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm, Optical Emission Spectrometers with a resolution in the range of 0.7 nm.

♦ We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse and 50 ms of O2

Realization and Dual Angle, In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

Abhishekkumar Thakur1, Stephan Wege1, Sebastian Bürzele1, Elias Ricken1, Jonas Sundqvist2, Mario Krug3

1Plasway Technologies GmbH, 2BALD Engineering AB, 3Fraunhofer IKTS

ALD-based spacer-defined multiple patterning schemes have been the key processes to continued chip scaling, and they require PEALD or catalytic ALD for low temperature and conformal deposition of spacers (typically SiO2) on photoresist features for the subsequent etch-based pitch splitting. Other SiO2 applications in the logic and the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial oxide, optical films, and many more. ALD is limited by low throughput that can be improved by raising the growth per cycle (GPC), using new ALD precursors, performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or omitting purge steps to attain the shortest possible ALD cycle. Today’s latest and highly productive platforms facilitate very fast wafer transport in and out of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces, or spatial ALD chambers.

We have developed a Fast PEALD technology [1], realizing individual precursor pulses saturating in the sub-100 ms range. The key feature of the technology is the highly uniform, radial injection of the precursors into the process chamber through several de Laval nozzles [2]. To in-situ study (concomitantly from the top and the side of the wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm) Optical Emission Spectrometers with a resolution in the range of 0.7 nm.


Saturation curves for SiO2 Fast PEALD

We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure. The in-situ, time-resolved OES study of O2 plasma pulse, indicating saturation of  O* (3p5Pà3s5S) emission peak already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The mean GPC diminishes with the electrostatic chuck temp (Fig. 6).

We will present a more optimized PEALD SiO2 process and stacking of Fast PEALD SiO2 on top of Fast PEALD Al2O3 in the same chamber without breaking the vacuum. The results will comprise XPS, TEM, film growth uniformity across 300 mm wafer, and residual stress investigation for the film stack.    

References:

[1] AVS ALD2020, Abstract Number: 2415, Oral Presentation: AM-TuA14

[2] Patent US20200185198A1


ALD/ALE 2021 Technical Program June 27-30, 2021

Virtual Meeting Overview & Highlights

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be adapted into a Virtual Meeting comprised of Live and On Demand Sessions. The event will feature:

AVS ALD/ALE 2021 Conference Page 

Live Tutorial Session with live Q&A Chat Opportunities
(Sunday, June 27, 2021)

  • Parag Banerjee (University of Central Florida, USA), “Seeing Is Believing: In situ Techniques for Atomic Layer Deposition (ALD) Process Development and Diagnostics”
  • Arrelaine Dameron (Forge Nano, USA), “ALD Powder Manufacturing”
  • Henrik Pedersen (Linkoping University, Sweden), “Let’s Talk Dirty – Battling Impurities in ALD Films”
  • Riikka Puurunen (Aalto University, Finland), “Fundamentals of Atomic Layer Deposition: An Introduction (“ALD 101”)”
  • Fred Roozeboom (Eindhoven University of Technology, The Netherlands), “ALE and ALD: Two Biotopes of a Kind in Atomic-Scale Processing”

Live Plenary, Awards, and Student Finalists with live Q&A Chat Opportunities (Monday, June 28, 2021)

  • Plenary Speaker: Steven George (University of Colorado Boulder, USA), “Mechanisms of Thermal Atomic Layer Etching”
  • Plenary Speaker: Todd Younkin (Semiconductor Research Corporation, USA), “Materials & Innovation – Essential Elements that Underpin the Next Industrial Revolution
  • Live Parallel Technical Sessions with live Q&A Chat Opportunities (Tuesday-Wednesday, June 29-30, 2021)

  • On Demand Oral Sessions (Starting Monday, June 28, 2021)

  • On Demand Poster Sessions with a Mix of Pre-recorded (Video or Audio) Talks and/or PDF files

Note: Live and On Demand Sessions available on Mobile App/Online Scheduler through July 31, 2021 and then to AVS members in the AVS Technical Library. Live Sessions will also be recorded and added to the On Demand Sessions.

Tuesday, April 6, 2021

Abstract submission April 23 (EXTENDED) - 240th ECS Meeting Topic Close-up: Atomic Layer Deposition Applications

TECHCET LLC CA & BALD Engineering AB to give a Keynote at 240th ECS Meeting Symposium G01- Atomic Layer Deposition Applications 17:

Status & Challenges in today's Atomic Layer Processing market
Jonas Sundqvist, TECHCET LLC CA, San Diego USA and BALD Engineering Värmdö, Sweden

Symposium focus: The organizers of symposium G01, “Atomic Layer Deposition Applications 17,” encourage abstract submissions on the following (and closely related) topics:
  • Semiconductor CMOS applications: development and integration of ALD (atomic layer deposition) high-k oxides and metal electrodes with conventional and high-mobility channel materials;
  • Volatile and non-volatile memory applications: extendibility, Flash, MIM (metal-insulator-metal), MIS (metal-insulator-semiconductor), RF (radio-frequency) capacitors, etc.;
  • Interconnects and contacts: integration of ALD films with Cu and low-k materials;
  • Fundamentals of ALD processing: reaction mechanisms, in situ measurement, modelling, theory;
  • New precursors and delivery systems;
  • Optical and photonic applications;
  • Coating of nanoporous materials by ALD;
  • MLD (molecular level deposition) and hybrid ALD/MLD;
  • ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;
  • ALD for energy storage applications;
  • Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates including roll-to-roll deposition;
  • Area-selective ALD;
  • Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.
Keynote speakers
Stacey Bent, Stanford University, U.S.
Jonas Sundqvist, TECHCET LLC CA, San Diego USA and BALD Engineering Värmdö, Sweden

Invited speakers
  • Barry Arkles, Gelest, U.S.
  • Karsten Arts, Technische Universiteit Eindhoven, The Netherlands
  • Parag Banerjee, University of Central Florida, U.S.
  • Necmi Biyikli, University of Connecticut, U.S.
  • Jane Chang, University of California, Los Angeles, U.S.
  • Lin Chen, Fudan University, China
  • Hao-Chung Kuo, National Yang Ming Chiao Tung University, Taiwan
  • Noémi Leick, National Renewable Energy Lab, U.S.
  • Cathérine Marichy, Université de Lyon, France
  • Xiangbo Meng, University of Arkansas, U.S.
  • Mattia Pasquali, University of Leuven and Interuniversity Microelectronics Centre (IMEC), Belgium
  • Sayeef Salahuddin, University of California, Berkeley, U.S.
  • Henrik Sønsteby, Universitetet i Oslo, Norway
  • Shuhui Sun, Institut National de la Recherche Scientifique, Université du Québec, Canada
  • Angel Yanguas-Gil, Argonne National Laboratory, U.S.
Tutorials
Ola Nilsen, Universitetet i Oslo, Norway
Steven George, University of Colorado Boulder, U.S.

Please visit the meeting website for further information, including travel and visa updates.

Symposium G01 organizers
F. Roozeboom, Technische Universiteit Eindhoven and TNO-Holst Centre, The Netherlands
S. De Gendt, IMEC and Catholic University Leuven, Belgium
J. Dendooven, Ghent University, Belgium
W. Elam, Argonne National Laboratory, U.S.
O. van der Straten, IBM Research, U.S.
A. Illiberi, ASM Europe, Belgium
G. Sundaram, Veeco, U.S.
R. Chen, Huazhong University of Science and Technology, China
O. Leonte, Berkeley Polymer Technology, U.S.
T. Lill, Lam Research, U.S.
M. Young, University of Missouri, U.S.

Monday, April 5, 2021

ALD/ALE 2021 is Going Virtual June 27-30, 2021

ALD/ALE 2021 is Going Virtual June 27-30, 2021 due to the Covid19 situation.

Virtual Meeting Overview & Highlights

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be adapted into a Virtual Meeting comprised of Live and On Demand Sessions. The registration deadline is June 30, 2021; all presenters must register by May 1, 2021. The event will feature:
  • Live Tutorial Session with live Q&A Chat opportunities (Sunday, June 27, 2021)
  • Live Plenary, Awards, and Student Finalists with live Q&A Chat opportunities (Monday, June 28, 2021)
  • Live Parallel Technical Sessions with live Q&A Chat opportunities (Tuesday-Wednesday, June 29-30, 2021)
  • On Demand Oral Sessions (Starting Monday, June 28, 2021)
  • On Demand Poster Sessions with a Mix of Pre-recorded (Video or Audio) Talks and/or PDF files
  • Live and On Demand Sessions available on Mobile App/Online Scheduler through July 31, 2021 and then to AVS members in the AVS Technical Library

AVS ALD/ALE 2021 Web

Note: Live Sessions will also be recorded and added to the On Demand Sessions.




ALD Program Chairs
 
Program Chair:
Seán Barry (Carleton University, Canada)

Program Co-Chair:
Scott Clendenning (Intel, USA)
ALE Program Chairs

Program Chair:
Jane Chang (University of California, Los Angeles, USA)

Program Co-Chair:
Thorsten Lill (Lam Research, USA)

Intermolecular at the AVS ASD2021 Workshop, April 6-8.

Join Milind Weling as he talks with fellow panelists Robert D. Clark (TEL) Annelies Delabie (imec), Adrie Mackus (Eindhoven University of Technology) Anuja De Silva (Lam) on Area Selective Deposition at ASD2021 on April 8 at 12:50PM CDT, 10:50 AM Pacific. Moderated by Rudy Wojtecki (IBM).



Join EMD Electronics and program co-chairs John Ekerdt & Stacey Bent at the Area Selective Deposition Workshop, April 6-8.

Day 1: April 6
Poster Session at 2 pm • Lanxia Cheng presents “Area Selective Deposition of Electronics using Multilayer SAM as Surface Passivation.”

Day 2: April 7
Session 4 is chaired by Ravindra Kanjolia., EMD Electronics

Poster Session at 2 pm • Lanxia Cheng presents “Area Selective Deposition of Electronics using Multilayer SAM as Surface Passivation.”

Day 3: April 8
Session 8 at 11:30 am • Ravindra Kanjolia presents, EMD Electronics “Strategies for Area Selective Deposition: From Inherently Selective Precursors to Inhibitor Molecules and Processes.”

12:50 pm • Milind Weling participates on a panel discussion “Area Selective Deposition.”

Thursday, March 18, 2021

Get your ALD-VIP Coupon Code for The Critical Materials Conference CMC2021, 14-15 April 2021

Hey ALD Folks The Critical Materials Conference CMC2021, 14-15 April is coming up with some really powerful guest that will give you deep insights beyond the typical ALD conference offering on topics lie the semiconductor and global economics, Logic Foundry High Volume Manufacturing, Cost of Quality, Metrology and Big Data Machine Learning and Data Crunching for Plasma ALD.

ALD-VIP Coupon Code: Connect2Techcet-75

Carefully selected and invited talks for the ALD-community!
  • Keynote: Jeanne Yuen-Hum, Vice President of Manufacturing & Operations, and Director of Global Supply-Chain Quality & Reliability, Intel Corporation on "The Cost of Quality"
  • G. Dan Hutcheson & Risto Puhakka, CEO & President, VLSI Research Post Pandemic – Semiconductor Industry Trends, Chaos or Order?
  • David Thompson, PhD, Managing Director, Chemistry & Device Materials, Applied Materials Maximizing Chemical Utilization & Quality in Precursor Delivery
  • Lian-Chen Chi 紀良臻, PhD, Nano-Materials Center Manager, TSMC Materials Quality vs. Technology Ing. Kutup Kurt, PhD, Head of Data Science, MERCK EMD/Versum Power of Hybrid Approach for Data-driven Process Optimization in Semiconductors Industry
  • Hugh Gotts, PhD, International Fellow, Air Liquide ALD & CVD Precursors Metrology and Analytics Trends- Driving toward PPQ
  • Tsuyoshi Moriya, PhD, MBA, VP Advanced Data Planning, Corporate Innovation Division, TEL The Impact of Machine Learning on Processes & Materials

As a bonus the 1st day we will have a virtual round table discussion on Materials Roadmaping in the industry. All participants are welcome to join.

Conference web for registration and agenda: https://cmcfabs.org/agenda-2021/



Tuesday, March 9, 2021

April 6-8 5th Area Selective Deposition Workshop (ASD 2021)

In an effort to help facilitate the progression of ASD techniques, The University of Texas at Austin University is proud to host the 5th Area Selective Deposition Workshop (ASD 2021), which will be held on April 6-8, 2021. The Area Selective Deposition Workshop scheduled for April 2-3 (ASD 2020) was postponed in March 2020 due to public health concerns relating to the coronavirus disease (COVID-19) and was later canceled in anticipation of ASD 2021. ASD 2021 retains much of the character of previous workshops and what was intended for ASD 2020, albeit in a virtual format. This year’s workshop will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges.
Key Deadlines:
Early Registration Deadline: March 16, 2021
Questions? Contact ekerdt@utexas.edu



The workshop will begin at 10:00 am (CST) and end at 3:00 pm on April 6 and 7 and at 2:00 pm on April 8. Times have been selected to enable participation for registrants spanning the West Coast to Europe. The workshop will include live sessions consisting of invited and contributed talks and panel discussions. A session moderator will ask questions that are entered onto the meeting presentation platform from registrants. Live poster sessions will be held after the oral presentation sessions on April 6 and 7; poster presenters will preload five-minute oral highlights of their poster one week before the workshop.

Invited speakers:
    • Chris Bates, UC Santa Barbara, USA
    • Fabio Grillo, ETH Zurich, Switzerland
    • Ravi Kanjolia, EMD Electronics, USA
    • Shashank Misra, Sandia National Labs, USA
    • Ainhoa Romo Negreira, TEL, Belgium
    • Tania Sandoval, Universidad Técnica, Chile
    • Kavita Shah, Nova, USA
    • Amy Walker, UT Dallas, USA
    • Charles Wallace, Intel, USA

Saturday, February 27, 2021

2021 ISSCC - Plenary Session with Dr. Mark Liu, TSMC Chairman

TSMC recently delivered a plenary session at ISSCC 2021. by Dr. Mark Liu, TSMC Chairman. He gave a vision and path of how semiconductor technologies will continue to innovate over the coming years and decades. Below you can watch the Dr. Liu’s plenary session.

Abstract: The foundry business model, pioneered by TSMC more than three decades ago, brought a sea change to technology innovation and how integrated circuits (ICs) and systems are designed and manufactured. Access to semiconductor technology is no longer limited to large corporations that invest billions of dollars to build a fabrication plant. The foundry model has democratized IC innovation, making it available to all visionaries and innovators.

Today, an open innovation platform that connects innovators with semiconductor-technology providers is a vital link in the global supply chain. Our industry has already begun to look beyond just engineering individual chips manufactured on wafers, and have moved to integrate individual chips into systems. System performance and energy efficiency will continue to advance at historical rates, driven by innovations from many aspects, including materials, device and integration technology, circuit design, architecture, and systems. User applications drives design choices, and design choices are enabled by technology advancements. Advances in an open innovation ecosystem will further lower the entry barriers and unleash the future of innovation.



Wednesday, February 24, 2021

The Nanotechnology Show October 13 - 14, 2021 Edison, New Jersey, USA

The Nanotechnology Show is the first industry-focused exhibition and conference covering the development and integration of nanotechnology within a range of applications including chemicals, life sciences, pharmaceutical, energy, electronics, automotive, and aerospace.

The Nanotechnology Show October 13 - 14, 2021 Edison, New Jersey, USA


The exhibition will provide a comprehensive showcase of the entire supply chain from instrumentation and processing equipment right through to material manufacturers and product developers.




Wednesday, February 3, 2021

Call for Abstracts - The 5th AVS Area Selective Deposition Workshop (ASD 2021)

Developments in nanoelectronics and nanoscale surface modification have continued to drive the need for more elegant and reliable bottom-up area selective deposition (ASD) strategies. Most notably, the semiconductor industry has relentlessly pursued sub-10 nm transistor fabrication for next-generation devices, an endeavor that increasingly relies on selective deposition techniques to facilitate proper material alignment. However, other fields beyond traditional transistor fabrication have also found potential applications for selective deposition. Mixed-material catalysts have consistently shown the benefits of having site-specific material growth, but new optical devices and materials for energy storage have also contributed to an increased focus on developing new strategies for ASD.




In an effort to help facilitate the progression of ASD techniques, The University of Texas at Austin University is proud to host the 5th Area Selective Deposition Workshop (ASD 2021), which will be held on April 6-8, 2021. The Area Selective Deposition Workshop scheduled for April 2-3 (ASD 2020) was postponed in March 2020 due to public health concerns relating to the coronavirus disease (COVID-19) and was later cancelled in anticipation of ASD 2021. ASD 2021 retains much of the character of previous workshops and what was intended for ASD 2020, albeit in a virtual format. This year’s workshop will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges.

Wednesday, January 27, 2021

Call for Abstracts ALD & ALE 2021 Tampa,FL, USA

 

 

 

Call for Abstracts

Due Next Wednesday:

February 3, 2021

 

 

 

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2021 Workshop (ALE 2021), so that attendees can interact freely. The conference will take place Sunday, June 27-Wednesday, June 30, 2021, at the JW Marriott Tampa Water Street in Tampa, Florida. As in past conferences, the meeting will be preceded (Sunday, June 27) by one day of tutorials.

 

ALD Plenary

Todd Younkin

(Semiconductor Research Corporation, USA)

 

“Materials & Innovation – Essential Elements that Underpin the Next Industrial Revolution”

ALE Plenary

Steven George

(University of Colorado

Boulder, USA)

 

“Mechanisms of Thermal

Atomic Layer Etching”

 

Key Deadlines:

Abstract Submission Deadline: February 3, 2021

Author Acceptance Notifications: March 16, 2021

Early Registration Deadline: May 14, 2021

Hotel Reservation Deadline: June 4, 2021

Manuscript Deadline: November 1, 2021

 

COVID-19 Alert: AVS recognizes the global COVID-19 pandemic continues to impact face-to-face meetings. We anticipate seeing you in Florida and we will continue to comply with COVID-19 guidelines (local, state, and federal). As a result, all meeting plans are subject to change to stay in compliance with these COVID-19 guidelines. Hybrid options will be considered as needed. Should an in-person meeting not be feasible, a virtual component will be planned. Additional details will be made available as the event draws closer.

 

 

ALD Program Chairs

 

Program Chair:

Sean Barry (Carleton University, Canada)

Program Co-Chair:

Scott Clendenning (Intel, USA)

ALE Program Chairs

 

Program Chair:

Jane Chang (University of California, Los Angeles, USA)

 

Program Co-Chair:

Thorsten Lill (Lam Research, USA)