Showing posts with label PEALD. Show all posts
Showing posts with label PEALD. Show all posts

Wednesday, June 27, 2018

Delft University of Technology orders Veeco PEALD system

PLAINVIEW, New York, June 26, 2018 – Veeco Instruments Inc. (Nasdaq: VECO) today announced that the Materials for Energy Conversion and Storage Group (MECS) at Delft University of Technology has ordered its Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. Based in The Netherlands, MECS selected the Veeco tool for its superior performance for research on state-of-the-art materials for renewables, storage and advanced energy solutions. 

“Our colleagues with the Kavli Nanolab at Delft have reported great success working with the Fiji F200 for their nanotechnology R&D, and we are confident the system’s capabilities will also serve us well as we pursue new materials for sustainable energy applications,” said Fokko Mulder, professor of applied sciences and integrated energy systems at Delft University of Technology. “In particular, we were drawn to the Fiji’s world-class reputation, flexible PE-ALD system architecture, and excellent service and support backed by the technical expertise of Veeco’s ALD scientists. After evaluating different options, the Fiji F200 proved the best platform to meet our advanced experimentation needs.”

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of thermal and plasma-enhanced deposition modes using multiple configurations of precursors and gases. Veeco continues to be in the forefront of ALD R&D for energy storage with premier research published in the field of solid-state lithium- and sodium-ion batteries, including stoichiometric multicomponent lithium oxides for higher capacity 3D electrodes, safer solid-state electrolytes, and ultrathin phosphate/aluminate encapsulation layers for improved battery lifetime. With over 500 systems installed worldwide, Veeco’s ALD platforms are used in a wide variety of research and industrial environments, including 3D nanofabrication, electronics, batteries, solar cells, energy and compound semiconductors, as well as exciting new applications to solve some of the world’s most pressing technology and resource challenges.

“The MECS group is one of the top research departments in the world working to meet the growing demand for renewable sources and energy storage solutions,” said Gerry Blumenstock, vice president and general manager of MBE and ALD products at Veeco. “We look forward to helping Professor Mulder and his team at Delft to maximize the benefits of ALD for this important research.”

According to the U.S. Energy Information Administration, global energy consumption will increase by 28 percent between 2015 and 2040, and renewables are the fastest-growing energy source with adoption expected to increase by an average of 2.3 percent each year through 2040. The intermittent nature of renewables is also driving the importance of advanced energy storage research and solutions. The global market for energy storage of renewables is predicted by Navigant Research to grow exponentially from its current nascent stage to reach $23 billion by 2026.

Wednesday, June 20, 2018

Plasma ALD and ALE Tutorial at PSE 2018, 16th of September in Garmisch-Partenkirchen

Plasma ALD and ALE Tutorial will be given at the 16th International Conference on Plasma Surface Engineering, September 17 - 21, 2018, in Garmisch-Partenkirchen, Germany.

 
Sunday, September 16, 2018
The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also  insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.

Program [PDF]
9:00 - 9:30

Introduction
Adriana Creatore, Eindhoven University of Technology, the Netherlands
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
9:30 - 11:00

“Overview of thin film deposition and nanofabrication by atomic layer deposition”
Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands
11:00 - 11:30 Break
11:30 - 13:00

“Plasma atomic layer deposition: basics, mechanisms and applications”
Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands
13:00 - 14:00 Lunch
14:00 - 15:30

“Principles, basics and practical examples of Plasma Atomic Layer Etching”
Sabbir Khan, Department of Physics, Lund University, Sweden
15:30 - 16:00 Break
16:00 - 17:30

“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications”
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
17:30 End of the tutorial



Thursday, April 12, 2018

Tuning PEALD film propperties by substrate biasing for planar and 3D applications

After having worked with dry etch people etching deep into silicon and advanced HKMG stack and other challenging and amazing things like longitudinal splitting of nanowires my experience is that they have a totally different level of insight to plasma processing technology than me the typical ALD guy. Substrate biasing is such a technology that we the usual suspects in ALD have limited insights to. You can almost hear how they laugh at us loud when we turn on our funky PEALD chambers and let the wafer float in an undefined potential state - it´s like taking a shower up in the international space station without any special precautions.

The TU Eindhoven Plasma Pro´s and their friends from Oxford Instruments, prove it time after time buy pushing out these great publications explaining to us who thinks plasmas are some sort of voodoo, They show us how it all really works in PEALD. Here is one of the most recent ones and next (April 19th) you may tune in to the Oxford Instruments Webinar and listen to Harm Knoops telling us how it really works (LINK).

Tuning Material Properties of Oxides and Nitrides by Substrate Biasing during Plasma-Enhanced Atomic Layer Deposition on Planar and 3D Substrate Topographies

Tahsin Faraz, Harm C. M. Knoops, Marcel A. Verheijen, Cristian A. A. van Helvoirt, Saurabh Karwal, Akhil Sharma, Vivek Beladiya, Adriana Szeghalmi, Dennis M. Hausmann, Jon Henri, Mariadriana Creatore, and Wilhelmus M. M. Kessels

ACS Appl. Mater. Interfaces, Article ASAP
Publication Date (Web): March 19, 2018

Saturday, April 7, 2018

Plasma-Therm acquires KOBUS and F.A.S.T ALD & CVD hybride technology

KOBUS, an innovative French company based in Grenoble that has brought a new faster ALD-like technology to production level  has been acquired by US Plasma-Therm. The technology is called F.A.S.T, an alternative to ALD where thick and conformal films are required like e.g. TSV and 3D chip integration or MEMS. F.A.S.T. stands for “Fast Atomic Sequential Technology and is enabled by a innovative CVD reactor design combined with fast pulsing capability. KOBUS has focused on solutions for 3D integration challenges, which fits good to the Plasma-Therms etch and PECVD product portfolio. Plasma-Therm also recently acquired the small Swedish plasma etch/dep OEM Advanced Vacuum who target back-end applications and reverse engineering etchers.

ST. PETERSBURG, Florida (April 4, 2018) — Plasma-Therm today announced that it has acquired KOBUS, an innovative plasma deposition company, which enables F.A.S.T®, a valuable alternative to ALD where thick and conformal films are required.

This unique deposition method is at the crossroads of ALD and CVD: F.A.S.T. stands for “Fast Atomic .Sequential Technology.” F.A.S.T. is enabled by proprietary CVD reactor design combined with pulsing capability, and while capable of depositing in traditional ALD mode, it is optimal for thick and conformal layer deposition and offers new solutions for 3D integration challenges.
Production of the 3rd generation of F.A.S.T. process modules at KOBUS (wwww.kobus-tech.com)

KOBUS offers a unique portfolio of equipment for both mature and advanced materials deposition, which merges well with Plasma-Therm’s operation, expanding the plasma-based deposition and etch suite of products for all silicon and compound semiconductor emerging applications.

This acquisition will allow Plasma-Therm to establish a solid base in Europe and conduct R&D development in the Grenoble “Silicon Valley,” a region fueled with R&D, startups and large semiconductor corporations.

About Plasma-Therm
Plasma-Therm LLC is a manufacturer of leading plasma etch, deposition, and advanced packaging equipment for specialty semiconductor and nanotechnology markets. Plasma-Therm's plasma-processing and advanced-packaging solutions are used in research, pilot manufacturing, and volume production of wireless, photonics, solid state lighting, MEMS/NEMS, data storage and other devices. Learn more at http://www.plasmatherm.com

Wednesday, March 14, 2018

RMD Dynasil employ CdTe ALD process for passivating HgCdTe IR sensors

Dynasil’s Radiation Monitoring Devices (RMD) is a world-renowned expert in the scintillation, photodetection, and radiation detection industries. At the recent Dynasil Corporation of America Annual Stockholder Meeting and Investor Presentation Conference Call it was reveiled that they have commericiallized an ALD process for passivating HgCdTe sensors with semiconductor-grade CdTe layer. The ALD coating is conformal, continuous and pinhole-free. Such low temperature CdTe ALD films have been previously published by Bengi Hanyaloglu et al (LINK).

Applications for these sensors are for:
  • Space IR telescopes
  • Tactical night vision googles and binoculars etc.
Peter Sulick - Chairman, President & CEO has this to say about the application (Seeking Alpha call transcript LINK)

"Another exciting area for research that is going on at RMD is its activation of the mercaptal infrared arrays, third-generation infrared arrays, and as people in the optic suite are well aware, this mercaptal infrared arrays require stabilization on the surfaces because of the semiconductor bonds being open at the surfaces. And one of the ways to do that is through activation layer of mercaptal films, and RMD has developed a proprietary technology using atomic layer deposition to deposit this mercaptal films. And the important advantage that our technology brings is that these are controllable films, which can be deposited at much lower temperatures than currently thought, and that can have a big impact in the yield and in the utility of these infrared sensors. So we are excited about where this area is going."


RMD has developed an ALD process for passivating HgCdTe sensors with semiconductor-grade CdTe layer. The ALD coating is conformal, continuous and pinhole-free. (Dynasil 2018 Annual Meeting Management Presentation LINK



Monday, March 5, 2018

EQP for the analysis of positive and negative ions, neutrals, and radicals from plasma processes

The Hiden Analytical EQP system is a combined mass spectrometer and energy analyser for the analysis plasma ions, neutrals and neutral radicals. The EQP instrument includes operating modes for positive ion, and negative ion analysis. Threshold ionization and electron attachment ionization modes are available for detailed neutral radical studies, for analysis of both electro-positive and electro-negative plasma radicals.

EQP Product page : LINK


The EQP system is now available with integrated MCS – multi channel scalar data acquisition with time resolution to 50 nano seconds providing for fast data acquisition in pulsed plasma applications.


Wednesday, January 17, 2018

Tutorial in Plasma assisted atomic level processing – PEALD & ALE at PSE2018

Plasma assisted atomic level processing – PEALD & ALE
Sunday, September 16, 2018
The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also  insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, in cooperation with Jonas Sundqvist, Fraunhofer IKTS.



Garmisch-Partenkirchen, Germany (source: panoramio, Wikipedia)

Thursday, November 9, 2017

Oxford Instruments and das-nano demonstrate non-destructive wafer based thickness and resistivity metrology for PEALD TiN


Commonly titanium nitride (TiN) thickness and resistivity wafer fab in-line metrology is based on ellipsometry and 4-point probe resistivity mapping. Alternative and relatively slower or more complex methods are X-ray photoelectron spectroscopy (XPS), X-ray reflectivity (XRR) and X-ray fluorescence (XRFS). TiN thin films are highly conductive and lose transparency for thicker layers which can make it challenging to accurately measure the thickness by ellipsometry above 10-20 nm. At about 50 nm layer thickness TiN is non-transparent and has a bronze color changing to gold for even thicker layers. In the case of resistivity mapping, 4-point probe is a destructive method leaving scratches from the needles that penetrates the TiN layer and possibly also damages the underlying layers and devices.

Atomic Layer Deposition of TiN on 200 mm wafers

TiN is used as a metal gate in complementary metal-oxide-semiconductor (CMOS) technology as it has low resistivity and is compatible with gate dielectrics. TiN is also deposited as a wear resistant coating, and barrier layer for copper diffusion due to its chemical and thermal stability. Traditionally TiN was deposited using physical vapour deposition techniques which suffer from as poor step coverage in deep contacts and via trenches due to the shadowing effects especially in high aspect ratio structures. 

Atomic layer deposition (ALD) is a thin film deposition technique which allows for Å-level control of the film thickness, excellent uniformity, and conformal coating of high aspect ratio features. 

Therefore, non-destructive characterization of thickness and electrical uniformity across the entire surface covered by the deposition is critical to ensure the quality of the final film. Oxford Instruments demonstrate the deposition of conductive TiN by plasma enhanced ALD with excellent thickness uniformity and collaborate with das-nano to map the resistivity uniformity using THz spectroscopy on 200 mm wafers. 


Read the full report here [Link to download paper]


Thursday, May 4, 2017

Advanced Energy sales for Plasma ALD sources show growth in Logic and 3DNAND

Advanced energy recently reported their 1Q/2017 showing off a Q1 Revenue increased 44.9% y/y and 10.3% q/q to $149.4 million (LINK)


In the following eraingscall (Yahoo Finance) Yuval Wasserman, Advanced Energy Industries, Inc. - CEO, President and Director, had this to say about their recent design wins for Plasma ALD remote plasma sources and emerging plasma sources for etch accelerated by 3DNAND and Logic 10 nm ramp demand.
 
A key driver of our success comes from continuously investing in R&D and winning new designs in this fast-growing areas. This quarter, we saw a broad set of design wins in semiconductor applications for customers in Asia and the U.S. Advanced 3D memory and logic devices drove the majority of the wins. We also won designs in new plasma-enhanced atomic layer deposition applications with our new remote plasma source technology, which is being adopted for radicals-based processes.
Finally, this quarter we had an important milestone with our solid state RF matching product, which has progressed from evaluation to pilot and mass production for advanced etch applications. Solid state RF matches enable the performance of emerging short plasma processes with high speed, reliable and dynamic control.

Looking ahead, solid state drives and mobile headsets continue to generate demand, leading to 3D NAND acceleration and additional foundry and logic investment in the ramp of 10 nanometers and the development of 7 and 5 nanometers. As the semiconductor capital equipment industry strives to keep pace, some OEMs are reaching maximum for capacity and tailoring their material planning accordingly. This leads us to expect our second quarter semiconductor revenues to remain at or above the first quarter's level.
Advanced Energy are offer in a ICP source (Litmas RPS) as well as a CCP (QUANTA) sources that are used in ALD and ALE, besides other classical semicondcutor processing like PECVD, PVD and RIE.

The Advanced Energy Litmas RPS Source (ICP type) uses in thin film deposition (LINK)