Thursday, September 30, 2021

ALD is everywhere - Take us it away, Picosun, born in Finland, born to ALD

ALD as a technology is not widely known to every man on the street. However, a new video shows how we are surrounded by it in our everyday lives.

Take us it away - Picosun, born in Finland, born to ALD



ALD Stories Ep 6 - ALD Precursor Design for Hard to Deposit Metals with Prof. Chuck Winter

Tyler sits down with Wayne State University’s Chuck Winter to talk about his approach to designing precursors for ALD. Chuck explains what makes a good ALD precursor and how elegant organic chemistry can enable ALD processes for hard-to-deposit metals, like cobalt and aluminum. 

Learn more about Chuck’s research here: http://www.clas.wayne.edu/wintergroup/ 

Papers mentioned in this episode: 




Selectivity Enhancement for Ruthenium Atomic Layer Deposition in Sub-50 nm Nanopatterns by Diffusion and Size-Dependent Reactivity

Area-selective deposition (ASD) is a promising bottom-up approach for fabricating nanoelectronic devices. The challenge is to prevent the undesired growth of nanoparticles in the nongrowth area. 

In this work from Belgium and The Netherlands research teams, linewidths of 50 nm and smaller, all Ru adspecies are captured at the growth interface before growth by precursor adsorption is catalyzed. This is more than 1000 times lower than for patterns with a linewidth of 200 nm and larger, where the Ru content decreases significantly only near the interface with the growth surface. 

The predicted depletion zone is confirmed by experiments in nanoscale line-space patterns. Overall, this mechanism results in smaller and fewer Ru nanoparticles for smaller patterns, facilitating the development of passivation-deposition-etch ASD processes for nanoelectronic device fabrication.

Selectivity Enhancement for Ruthenium Atomic Layer Deposition in Sub-50 nm Nanopatterns by Diffusion and Size-Dependent Reactivity

Jan-Willem J. Clerix, Esteban A. Marques, Job Soethoudt, Fabio Grillo, Geoffrey Pourtois, J. Ruud Van Ommen, Annelies Delabie,
3 September 2021




Area-selective deposition (ASD) is a promising bottom-up approach for fabricating nanoelectronic devices. However, a challenge is to prevent the undesired growth of nanoparticles in the nongrowth area. This work uses kinetic Monte Carlo (KMC) methods to investigate the defectivity in ruthenium ASD by (ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru/O2 (EBECHRu) atomic layer deposition (ALD) in line-space nanopatterns with different dimensions. Ru ASD is governed by adsorption as well as diffusion. The defectivity depends on the pattern dimensions, as nanoparticles can diffuse and reach the interface with the growth area where they aggregate. For linewidths of 50 nm and smaller, all Ru adspecies are captured at the growth interface before growth by precursor adsorption is catalyzed. The synergetic effect of diffusion and size-dependent reactivity reduces defectivity below 1010 Ru atoms cm−2 for at least 1000 ALD cycles. This is more than 1000 times lower than for patterns with a linewidth of 200 nm and larger, where the Ru content decreases significantly only near the interface with the growth surface. The predicted depletion zone is confirmed by experiments in nanoscale line-space patterns. Overall, this mechanism results in smaller and fewer Ru nanoparticles for smaller patterns, facilitating the development of passivation-deposition-etch ASD processes for nanoelectronic device fabrication.


Wednesday, September 29, 2021

Status & Challenges in Today's Atomic Layer Processing Market J. Sundqvist (Keynote TECHCET LLC CA)

ALD at ECS is coming up and it is for free! Besides all the interesting sessions on ALD there is one on ALD Present and Future. I look forward to meet you there in Florida and give one of the Keynotes on Status & Challenges in Today's Atomic Layer Processing Market followed by much more interesting talks by Stacy Bent (Stanford University) and Mattia Pasquali (Imec)


Monday, 11 October 2021


Sunday, September 19, 2021

1 min introduction of Han Bo Ram Lee Lab (HBRLRG, Korean version)

Even if you do not speak Korean you can understand almost everything in this amazing video from Han-Bo-Ram Lee lab - stay tuned for the English version!



ALD can improve surgical tools like scalpel blades and much more

A recent article published in MDPI (LINK) discusses a study where zinc oxide thin film was deposited on surgical knife blades with ALD. The study shows that surgical instruments coated with non-allergenic metal oxide coatings containing metal structures that reduce the growth of bacteria could significantly decrease the risk of undesirable reactions of the body during and after surgery.


"The use of ALD methods in medicine allows us to enter a completely new generation of in vivo medicine. The ALD method makes it possible to meet the high requirements regarding mechanical and anti-corrosion properties, chemical and thermal resistance, as well as biocompatibility for tools used in medicine."

Here ALD coatings performed in a Picosun R 200 System have been investigated by Polish researchers.

Application of ALD Thin Films on the Surface of the Surgical Scalpel Blade

1
Department of Engineering Materials and Biomaterials, Silesian University of Technology, Konarskiego 18a Str., 44-100 Gliwice, Poland
2
Scientific and Didactic Laboratory of Nanotechnology and Material Technologies, Faculty of Mechanical Engineering, Silesian University of Technology, Towarowa 7 Str., 44-100 Gliwice, Poland
3
Faculty of Biomedical Engineering, Silesian University of Technology, Roosevelta 40, 41-800 Zabrze, Poland
*
Author to whom correspondence should be addressed.
Academic Editor: Angela De Bonis
Coatings 202111(9), 1096; https://doi.org/10.3390/coatings11091096
Received: 11 August 2021 / Revised: 3 September 2021 / Accepted: 7 September 2021 / Published: 11 September 2021


Saturday, September 18, 2021

University of Helsinki presents Self-Aligned Thin-Film Patterning by Area-Selective Etching of Polymers

A promising path to cut cost, scale, and reduce the environmental impact of semiconductor manufacturing

One of the driving costs in the high volume production of semiconductor components for especially powerful processors and memory chips is the patterning process. Both the capital investment in photolithographic equipment and the design cost add to the escalating cost going down to smaller nodes (see figure below). If one can reduce the number of lithographic mask layers needed in the production for a chip design one automatically cut the overall cost. Another problem is that while scaling down designs to smaller critical dimensions and tighter pitches and scaling up in the 3rd dimension like for 3D-NAND and coming 3D-DRAM it becomes more difficult to match the next mask layer with the previous one. The industry has solved this issue successfully for many years by introducing self-aligned processes like self-aligned contacts to the source, drain, and gate of the transistors below. Also, selective deposition processes like selective Epi and Cobalt CVD caps on copper are in production.

From an environmental view, lithography and mask more mask layers also consume more energy and clean water. Recent reports from Taiwan have it that both are problems, where drought has led to water shortages and the overall energy demand from fabs are high (about 5% of Taiwan total demand in 2019). 

Here, the University of Helsinki presents a process sequence for the future that is self-aligned and selective making it possible to mitigate all those problems in a very clever way for future devices and metallization schemes - please find all the details in the article below that is open source for download.

Self-Aligned Thin-Film Patterning by Area-Selective Etching of Polymers

by Chao Zhang, Markku Leskelä and Mikko Ritala *

Coatings 2021, 11(9), 1124; https://doi.org/10.3390/coatings11091124

Patterning of thin films with lithography techniques for making semiconductor devices has been facing increasing difficulties with feature sizes shrinking to the sub-10 nm range, and alternatives have been actively sought from area-selective thin film deposition processes. Here, an entirely new method is introduced to self-aligned thin-film patterning: area-selective gas-phase etching of polymers. The etching reactions are selective to the materials underneath the polymers. Either O2 or H2 can be used as an etchant gas. After diffusing through the polymer film to the catalytic surfaces, the etchant gas molecules are dissociated into their respective atoms, which then readily react with the polymer, etching it away. On noncatalytic surfaces, the polymer film remains. For example, polyimide and poly(methyl methacrylate) (PMMA) were selectively oxidatively removed at 300 °C from Pt and Ru, while on SiO2 they stayed. CeO2 also showed a clear catalytic effect for the oxidative removal of PMMA. In H2, the most active surfaces catalysing the hydrogenolysis of PMMA were Cu and Ti. The area-selective etching of polyimide from Pt was followed by area-selective atomic layer deposition of iridium using the patterned polymer as a growth-inhibiting layer on SiO2, eventually resulting in dual side-by-side self-aligned formation of metal-on-metal and insulator (polymer)-on-insulator. This demonstrates that when innovatively combined with area-selective thin film deposition and, for example, lift-off patterning processes, self-aligned etching processes will open entirely new possibilities for the fabrication of the most advanced and challenging semiconductor devices.


Schematics showing self-aligned polymer etching and the subsequent film patterning through area-selective deposition and lift-off processes. (Zhang et al Coatings 2021, 11(9), 1124, figure 1)

This is an open access article distributed under the Creative Commons Attribution License which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited

Semiconductor design and manufacturing: Achieving leading-edge capabilities, McKinsey LINK

Wednesday, September 15, 2021

Problem solved - In0.5Ga0.5N layers by Atomic Layer Deposition!

Pedersen Group at Linköping University, Sweden, present an ALD approach to metastable In1-xGaxN with 0.1 < x < 0.5 based on solid In- and Ga-precursors that were co-sublimed into the deposition chamber in one pulse. A near In0.5Ga0.5N film with a bandgap of 1.94 eV was achieved on Si (100) substrate. Epitaxial In1-xGaxN (0002) was successfully grown directly on 4H-SiC (0001).

In0.5Ga0.5N layers by Atomic Layer Deposition
P. Rouf, J. Palisaitis, B. Bakhit, N. J. O'Brien and H. Pedersen, J. Mater. Chem. C, 2021, DOI: 10.1039/D1TC02408F. (LINK)



a) Cross-sectional STEM-HAADF image of the ~60 nm In1-xGaxN film on 4H-SiC substrate with a zoomed in image of the b) In82Ga18N and c) In18Ga82N layers. d) SAED pattern from the film and substrate. EDX maps of Ga e), In f) and Si g). EELS maps of N h) and C i).

Tuesday, September 7, 2021

Picosun Innovation Lab, opened in September 2021

Picosun Innovation Lab, opened in September 2021, will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.




ESPOO, Finland, 7th of September 2021 – Picosun Group has taken into use new facilities at its production laboratory in Kirkkonummi, Finland. The Picosun Innovation Lab will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.

The Innovation Lab hosts Picosun’s new generation tools PICOSUN® Morpher and PICOSUN® Sprinter. Morpher was launched in 2019 and it started a completely new era in Picosun products. Its adaptive and versatile nature makes it an ideal ALD solution for to the changing needs of different business verticals in the up to 200 mm wafer industries. Sprinter was launched late 2020 for the 300 mm wafer markets to meet the ever-increasing demands of semiconductor, display and IoT component manufacturing lines. It has brought single wafer film quality and uniformity for fast batch processing and met the challenges in high volume ALD manufacturing.

The Innovation Lab increases the laboratory capacity Picosun currently has on its premises significantly. The new facilities will have the ability to host tens of ALD tool modules. The facilities also support a variety of process gases including for example N2, O2, O3, Ar, H2, NH3 and NF3. Furthermore, special attention has also been paid for the best-in-class building management and safety systems.

“The opening of the new Innovation Lab reflects our role in being the pioneer in ALD and continuing the daily work in setting the standards for future innovations in the ALD sphere. The Innovation Lab has been a big investment for the company, but we see this as an essential investment to our and our customers’ future”, says Jussi Rautee, CEO of Picosun Group.

Friday, September 3, 2021

The world’s largest ALD system - The BENEQ P1500 is here!

Now it is here - The Beneq P1500 is the biggest ALD system and is built specifically to coat sizeable sheets and complex parts. It is also made to deliver increased throughput for batches of smaller components.





Beneqs customers use the P1500 for optical coatings on large diameter substrates, anticorrosive coatings of semiconductor equipment parts, and various applications where ALD is used on glass or metal sheets.


Large parts need large ALD tools. The Beneq P1500 can accommodate parts up to 1300 × 2400 mm in size, and enables the deposition of high-quality, functional optical coatings on wide area mirrors or lenses. It is also used to coat batches of parts in the 300 to 1000 mm size range.

More information: LINK





Wednesday, September 1, 2021

Picosun strengthens its position in the semiconductor market

ESPOO, Finland, 31st of August 2021 – Picosun Group strengthens its position in the 300 mm semiconductor market with its new generation ALD tool PICOSUN® Sprinter.


PICOSUN® Sprinter was first launched in December 2020 as a stand-alone module. Now also customer deliveries and installations of PICOSUN® Sprinter clusters have started.

“A Sprinter cluster consist of two Sprinter modules and a central vacuum wafer-handling robot utilizing 5-wafer handling. The set-up enables a throughput of more than 100 wafers an hour with 10 nm aluminium oxide target film thickness”, explains Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

“The throughput capability combined with the unique design of the tool’s reaction chamber, the record-breaking batch film quality and the fact that the tool can be fully integrated with the customers’ production line, makes PICOSUN® Sprinter the tool of choice for semiconductor, display and IoT component industries who need a future-proof tool with single wafer film quality and uniformity in fast batch processing”, Kostamo concludes.