Friday, November 11, 2016

New Al2O3/MgO ALD laminates for encapsulation flexible OLEDs

Fleixible OLEDs that we all need for our next generation smartphones, tablets and wearbles are very vulnerable to contamination from the air that surrounds us and especially moisture. China is really taking on OLED high volume production and now researchers from South China University of Technology - SCUT have developed a new material stack for  OLEDs based on a low temperature ALD process that decreases the diffusion of water vapour the OLED device by an order of magnitude.



Anna Demming at Nanotech.Org has interviewed the Lei Ying, an SCUT researcher and he claims that “No one has used MgO for OLED encapsulation before” and accoring to him MgO has a number of advantages: 
  • a low refractive index giving high transmittance
  • wide bandgap
  • high dielectric constant
  • high chemical stability
  • lack of UV irradiation treatment requirements.
  • cheap and commercially available
Accoring to the scientiffic report below the researchers uses an MgO ALD process from Mg(CpMe)2 and H2O at 80 °C since the more commonly used Mg(CpEt)2 is much more expensive than the Mg(CpMe)2 . The ALD depositions were carried out in a BENEQ TFS500 reactor.

BENEQ Thin Film System TFS 500 for ALD research and batch production (beneq.com)


The laminated Al2O3/MgO films improve the reliability of thin film encapsulationand can realize a barrier film with high density, which achieves a superior WVTR as low as 4.6 × 10−6 gm–2/day and passes storability, without any visible black spot growth under testing conditions (temperature = 60 °C, humidity = 100%) for 600 h.
Please read the interview here and study the additional materials including a movie on OLED aging testing.

Realization of Al2O3/MgO laminated structure at low temperature for thin film encapsulation in organic light-emitting diodes[OPEN ACCESS]

Min Li, Miao Xu1, Jianhua Zou, Hong Tao, Lei Wang, Zhongwei Zhou and Junbiao Peng1
Published 9 November 2016 • © 2016 IOP Publishing Ltd
Nanotechnology, Volume 27, Number 49

Abstract
A laminated structure of Al2O3 and MgO deposited by atomic layer deposition (ALD) is used to realize a thin film encapsulation technology in organic light-emitting diodes (OLEDs). This film was targeted to achieve an excellent barrier performance. As the thickness of MgO layer increased from 0 nm to 20 nm, its physical properties transformed from the amorphous state into a crystalline state. The optimized cyclic ratio of ALD Al2O3 and MgO exhibited much lower water vapor transmission rate (WVTR) of 4.6 × 10−6 gm−2/day evaluated by Calcium (Ca) corrosion at 60 °C&100% RH, owing to the formation of a terrific laminated structure. Top-emitting OLEDs encapsulated with laminated Al2O3/MgO show longer operating lifetime under rigorous environmental conditions. These improvements were attributed to the embedded MgO film that served as a modified layer to establish a laminated structure to obstruct gas permeation, as well as a scavenger to absorb water molecules, thus alleviating the hydrolysis of bulk Al2O3 material.

Thursday, November 10, 2016

AVS ALD2017 has come on line with an updated web page!

AVS ALD2017 has come on line with an updated web page and a lot of information! Please go ahead and check it out here.

The AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Workshop (ALE 2017) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2017 Workshop (ALE 2017), so that attendees can interact freely. The conference will take place Saturday, July 15-Tuesday, July 18, 2017, at the Sheraton Downtown Denver in Denver, Colorado, USA.


Today Riikka Puurunen was announced as Session chair for Social Media, which is a great initiative and the first time for this conference series. The twitter hashtag will be #ALDALE2017 to include the ALE2017 workshop as well.



Forge Nano could save Samsung phones from exploding with ALD coating

As reported by EETimes: LAKE WALES, Fla. — The exploding battery debacle of Samsung's Note 7 got it recalled, replaced, recalled again and now permanently cancelled. Any remaining units in the field are banned by the FAA from airline flights. But it all could have been avoided, according to Forge Nano (Denver, Colo., formerly PneumatiCoat Technologies), if their nano coating had been used. Forge Nano's nano coatings boost the breakdown temperature of flammable electrolyte Li-Ion batteries, putting it way far into the safe zone for nominal environmental usage. The key, according to Forge Nano (Denver) is nano-pattern atomic layer deposition (ALD).

 
"The atomic layer coatings are chemically bonded on the surface of active material particles that make up the Li-Ion battery cathode. It works like a protective coating on an M&M. Independent testing and research has shown that ALD coatings can prevent or reduce the formation of these unwanted chemical species within Li-Ion batteries that can lead exothermic reactions [thermal runaway]," Dr. James Trevey, vice president of engineering told EE Times.

Background on Forge Nano ALD grant:

PCT (Forge Nano) Awarded Department of Energy Phase II SBIR Grant for its High Rate Nanomanufacturing Approach to Low-Cost ALD Enabled Lithium Ion Battery Materials

PneumatiCoat Technologies (Forge Nano) is proud to announce the successful conversion of its DOE Phase II SBIR project for its approach to high-rate nanomanufacturing that will enable the ALD process to be adopted at low cost to the entire value chain. PCT (Forge Nano) will be scaling its high-rate manufacturing process to be capable of producing ALD coated Li-ion battery materials at rates exceeding 100 kg/day. This represents a substantial expansion of the global Particle ALD manufacturing footprint and maintains PCT’s (Forge Nano) position as the market leader bringing this technology first developed in Finland in 1992 to a commercial reality. PCT (Forge Nano) received commitments from its partners to provide PCT (Forge Nano) with over 500 kilograms of pristine cathode materials in support of its efforts. In addition to implementing a lean manufacturing vision for ALD coated materials, PCT’s (Forge Nano) R&D operations will be developing next generation coatings for advanced cathode materials for Li-ion and other types of batteries and capacitor systems. This award represents a win for the entire vehicular battery value chain. A list of awarded projects is available here.

Researchers at Lawrence Berkeley National Laboratory integrate water-splitting catalyst with a solar cell by PEALD

Meanwhile, a team of international researchers at Lawrence Berkeley National Laboratory have been very busy taking a major steps towards artificial photosystems employing PEALD processes performed at the Molecular Foundry at Berkeley Lab.

The CoOx catalyst films were deposited in a Oxford Instruments FlexAL PEALD reactor using CoCp2 (98% Strem Chemicals) and oxygen plasma was the oxidant.

Schematic of the multi-functional water splitting catalyst layer engineered using atomic layer deposition for integration with a high-efficiency silicon cell. (Credit: Ian Sharp/Berkeley Lab)


A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes [OPEN ACCESS]
Jinhui Yang, Jason K. Cooper, Francesca M. Toma,  Karl A. Walczak, Marco Favaro, Jeffrey W. Beeman, Lucas H. Hess,  Cheng Wang, Chenhui Zhu, Sheraz Gul, Junko Yano, Christian Kisielowski, Adam Schwartzberg & Ian D. Sharp
Nature Materials doi:10.1038/nmat4794
 
Artificial photosystems are advanced by the development of conformal catalytic materials that promote desired chemical transformations, while also maintaining stability and minimizing parasitic light absorption for integration on surfaces of semiconductor light absorbers. Here, we demonstrate that multifunctional, nanoscale catalysts that enable high-performance photoelectrochemical energy conversion can be engineered by plasma-enhanced atomic layer deposition. The collective properties of tailored Co3O4/Co(OH)2 thin films simultaneously provide high activity for water splitting, permit efficient interfacial charge transport from semiconductor substrates, and enhance durability of chemically sensitive interfaces. These films comprise compact and continuous nanocrystalline Co3O4 spinel that is impervious to phase transformation and impermeable to ions, thereby providing effective protection of the underlying substrate. Moreover, a secondary phase of structurally disordered and chemically labile Co(OH)2 is introduced to ensure a high concentration of catalytically active sites. Application of this coating to photovoltaic p+n-Si junctions yields best reported performance characteristics for crystalline Si photoanodes.


BENEQ ALD process beats PVD in speed, quality and cost of ownership

Readers of this blog has probably noticed that ALD is continuously taking market shares from PVD and is growing much faster than PVD. ALD is a disruptive technology in many ways especially in semiconductor manufacturing. Now BENEQ Spatial Plasma ALD process also beats PVD in speed, quality and cost of ownership for Anti Reflection coatings!
 
High-performance AR coatings for mass production 08.11.2016 | BENEQ Equipment :  Ever since we earlier this year announced the licensing of rotary spatial ALD technology and launched Beneq R11, our new rotary tool for plasma enhanced spatial ALD, we have received a steady flow of inquiries and questions about where we see the new equipment being the most useful. Plenty of things, we might say, but here is one prime example: fast low temperature optical coatings, such as anti-reflection (AR) coatings on polycarbonate.


The rotary spatial ALD technology and the Beneq R11 equipment solve two major challenges that have until now been associated with the use of ALD in optical coatings in high-volume manufacturing: the deposition rate and the deposition temperature. The new advanced spatial ALD technology has several advantages over traditional PVD coatings commonly used for optical coatings.

Ultra-fast ALD deposition rates with Beneq R11

Atomic layer deposition is well known for great thin film quality, but the low deposition rates of traditional ALD methods have prohibited its use in volume production. With Beneq R11, this is no longer an issue as we can reach deposition rates of over 1µm/h with common optical materials such as SiO2 and TiO2. This takes the technology from lab scale to high volume manufacturing in one giant leap. Some might even call the deposition rates revolutionary.

Please continue here at the Beneq ALD Blog.

Wednesday, November 9, 2016

The speaker videos from ALD2016 Ireland are here!

Conference Programme and speaker videos - The conference programme and speaker videos for the The 16th International Conference on Atomic Layer Deposition can be viewed here.

To search:
  1. Click on programme / title / presenter / topic
  2. Open the abstract
  3. The video link is detailed at the top of the abstract
Please note that videos are only listed for the speakers that have granted permission for publication. 
 
 
Fotografie Katharina Knaut (LINK)

Monday, November 7, 2016

The leading ALD News Blog is from today on operating as a Swedish private limited company, BALD Engineering AB

The leading ALD News Blog is from today on operating as a Swedish private limited company (AB = Aktiebolag)  BALD Engineering AB - Born in Finland, Born to ALD, ALD Invented 1974 in Finland.



Bill Gates grants CU Boulder $1.1 million for next-generation vaccines by ALD

The University of Colorado Boulder has received a $1.1 million grant from the Bill & Melinda Gates Foundation to develop next-generation vaccines that require no refrigeration and defend against infectious diseases with just one shot. 
 
 
The Jennie Smoly Caruthers Biotechnology Building (JSCBB) at CU Boulder. Photo: Patrick Campbell / University of Colorado Boulder

If successful, those advancements could radically transform the difficult task of dispensing life-saving immunizations in developing countries—and improve convenience in every part of the world.

Professor Bob Garcea of the Department of Molecular, Cellular and Developmental Biology and the BioFrontiers Institute has teamed up with Professors Ted Randolph and Al Weimer of the Department of Chemical and Biological Engineering in a unique collaboration that applies a wide range of skillsets and ideas to the pressing challenge of delivering vaccines to patients in developing countries. All three investigators work in the Jennie Smoly Caruthers Biotechnology Building (JSCBB) at CU Boulder, but their research areas have very different emphases.

“It’s really merging three different people with three different sets of expertise into one project,” Garcea said.

In Garcea’s lab, located in the Jean L. and Jack C. Thompson Vaccine Development Laboratory of the JSCBB, investigators work on new vaccines such as those for human papillomavirus, a leading cause of cervical cancer that is particularly devastating to women in developing countries.

One corridor away, Randolph’s team, which focuses on creating stable dosage forms for therapeutic proteins and vaccines, developed a process for making vaccines thermostable, or resistant to damage from heat or cold. In this glassy powder state, the vaccine can be stored at temperatures as high as 120 degrees Fahrenheit for three to four months without losing efficacy, Randolph said.

The two began collaborating about two years ago and even formed a spinoff company, Vitravax Inc., which is seeing successful results in vaccine studies conducted in mice.

The Gates Foundation grant will take these innovations a step further by combining the thermostable vaccine powders with techniques developed in the Weimer lab that allow uniform nanoscopic protective layers of aluminum oxide to be applied to vaccine microparticles. This coating process, called atomic layer deposition, not only provides a nanometer-thick protective barrier for the vaccine particles but also helps trigger the body’s immune response.

The trio are now forming extended release, multilayer microparticulate vaccine dosage forms, composed of an inner core of stabilized vaccine coated with aluminum oxide layers and an outer layer of vaccine, all embedded in a glassy powder. When the formulation is injected, the outer layer provides an initial vaccine dose. Next, the aluminum oxide layer slowly dissolves, eventually releasing the inner core which acts as a second dose of vaccine. Patients receive their second or third “dose” without ever knowing it and without a return trip to the doctor.

Although each step of the process has worked independently, researchers cautioned that moving from small test batches in the lab to manufacturing millions of vaccines for public use is a challenging process that may not succeed quickly—or at all.

“We’ve done many of the individual parts of this project,” Randolph said. “Now we’ve got to put those pieces together and have it work.”

Still, investigators say they are optimistic about the collaboration, which might never have happened if not for their proximity on CU Boulder’s East Campus and the interdisciplinary mission of the BioFrontiers Institute, which seeks to drive innovation by combining researchers from different fields.

“One of the hopes [of the BioFrontiers Institute] is that investigators will, by their proximity, do new and interesting things,” said Garcea, who is a member of the institute. “In a sense, we’ve fulfilled the mission. If the technology works, we’ve really fulfilled the mission.”

Friday, November 4, 2016

ALD NanoSolutions Reports Banner Year as Its ALD Technology Helps Fast-Track Advanced Materials From Concept to Commercialization

BROOMFIELD, Colorado – Nov. 4, 2016 – Today, ALD NanoSolutions (ALD Nano), the pioneer and market leader in Atomic Layer Deposition (ALD) technology on particles, reported a banner year on multiple fronts. The company partners with leading global materials companies to commercialize ALD advanced materials that significantly improve the performance, safety and other characteristics of end products in industries like lighting, batteries, sensors, life sciences and catalysts. 2016 highlights include new patents, deeper customer engagements, expanded manufacturing space, and new reactors to increase production capacity. The momentum illustrates how ALD Nano is harnessing the immense near-term market opportunities for its proprietary ALD technologies outside of ALD’s traditional deployment in the semiconductor industry. 

 
Leading with Differentiated Intellectual Property (IP)
Major 2016 milestones reinforced ALD Nano’s pioneering development and leadership in ALD for control of surface properties at the atomic level for unique functionality of particles and other materials. The company obtained new patents, including some from the University of Colorado Boulder (CU Boulder), its R&D partner since inception. This brings ALD Nano’s total patent holdings to 28 issued and 14 pending. The new IP heightens the market value and cost-effective use of its “Particle ALD” and “Polymer ALD” to create advanced materials. 



An important new patent1 covers an ALD method to deposit inorganic films on organic polymer surfaces. For industries like OLED displays and lithium-ion batteries, the innovation promises breakthrough benefits that could displace other technologies. The Polymer ALD technology could better protect battery electrode separators from overheating and enable next-generation life-science tools, among other applications. 

Another new patent2 is for Particle ALD use with super capacitor electrodes, and an in-license3 from CU Boulder for additional applications of ALD for batteries. Together, they strengthen the company’s position in the energy storage market. A further patent4 covers the use of an ALD method to apply a ceramic coating to implantable medical devices. This expands ALD Nano’s position in the life sciences industry. The company also filed a patent5 internationally for its revolutionary Particle ALD continuous flow reactor system. This allows for large-scale, cost-effective Particle ALD advanced materials production.

Enabling Innovation for Manufacturers of Lithium-Ion Batteries and LED Lighting
A standout 2016 highlight was the first commercial application of Particle ALD for Cathode Active Materials (CAMs) used to produce lithium-ion batteries. The breakthrough was achieved thanks to CU Boulder’s extensive R&D and ALD Nano’s proprietary and robust IP portfolio, coupled with the company’s strategic partnership with a leading battery materials company. Particle ALD is the most effective surface modification method available for CAMs. The ALD-enabled CAMs will dramatically improve performance, extend cycle life and enhance the safety of batteries for use in consumer electronics, electric vehicles and grid storage.

Also in 2016, the company began commercial production of Particle ALD phosphors for a Fortune Global 500 customer, following a multi-year collaboration. The ALD advanced material significantly extends the brightness lifetime for LED lights, while using a fraction of the coating material required for other deposition methods.

Expanding Infrastructure to Address Growing Demand for ALD Solutions
With its accumulating IP, ALD Nano is expanding and deepening engagements with customers. To support the momentum, the company doubled manufacturing space at its headquarters in Colorado, and added new reactors to increase production capacity. Headcount has also grown in the last 12 months.

CEO Mike Masterson called 2016 a transformative year for ALD Nano: “Our growth this year coincides with the consistently superior performance of our ALD technology in many markets. This validates our early vision and is now guiding our execution strategy to create ALD advanced materials in partnership with leading sales channel partners and customers. We’ll enter 2017 firmly positioned with differentiated technology and expertise to help such companies achieve their technology and cost-of-production goals. Our growth is a tribute to the steady efforts of our team, and the extraordinary innovation contributed by each individual.”

New ALD Nano Patents
1 US Patent 9,376,750
2 US Patent 9,406,449
3 US Patent 9,196,901
4 US Patent 9,279,120
5 US Application 62/175,964

About ALD
ALD is the sequential vapor phase material deposition method that forms chemically bonded, high-purity, conformal, ultra-thin films of controlled nanometer thickness. ALD generates less waste than other deposition techniques such as chemical vapor deposition, giving customers a sustainable and cost-of-ownership edge, while helping to reduce overall costs. The atomic level precision of ALD on particles, polymers and other substrates enables new or better applications of materials resulting in ALD advanced material solutions. Devices such as consumer electronics are getting smaller and more complex, requiring novel materials to solve critical issues for marketplace adoption.

About ALD NanoSolutions
ALD NanoSolutions (ALD Nano) is creating cost-effective advanced materials that are transforming industries such as lighting, energy storage, consumer electronics, life sciences, fuel catalysts, water purification, sensors, and more. We’re the leader in Atomic Layer Deposition (ALD) technology on particles, with broad IP covering polymers and MEMS, as well. We partner with world-leading companies that leverage our material designs and reactor systems to innovate products that benefit consumers globally. For more than a decade, we have commercialized innovative ALD technologies developed internally and through research conducted at the University of Colorado Boulder. We’re headquartered in Broomfield, Colorado.

Company Contact: Mike Masterson; mmasterson@aldnanosolutions.com
Media Contact: Jane Evans-Ryan; Genuity PR; jane@genuitypr.com

Wednesday, November 2, 2016

NCD supplied Lucida GS100 ALD to KIER

2016/11/02 : NCD has recently launched and supplied Lucida GS100 ALD, new version of Al2O3-ALD passivation equipment for high efficiency crystalline silicon solar cell, to KOREA INSTITUTE OF ENERGY RESEARCH (KIER).

This batch wafer process equipment has the specification below.

1) Batch capability: > 200 wafers/hour

2) Substrate size: 156 x 156mm2

3) Dimension: Approx. 450W x 617D x 1000H mm

KIER, which is not only the largest but also the most prestigious national institute of Korea about energy, is using Lucida GS 100 to investigate what is the best with dielectrics and passivation layers for crystal silicon solar cell. So we expect that this system will contribute very much to the development of solar energy research. NCD will do all we can, to be the best ALD equipment company with continuous R&D efforts. 
 
Lucida GS100 ALD for Al2O3 passivation of solar cells running at > 200 wafers/hour.

Sunday, October 30, 2016

ALD news & future outlook from the 3Q 2016 ASM Interlational earnings call

Here is a summary and some personal reflections of the ASM International 3Q/2016 earnings call (Full version at SeekingAlpha) having the ALD binoculars on, as always. In any, case nothing else than ALD was discussed and the main focus was on single wafer ALD and not batch furnace directly. There was however an interesting question from ING on the rumors that ASM will place an offer on Hitachi Kokusai, which Chuck del Prado declined to comment on other than in general terms that ASM has an organic growth strategy and will take opportunities if they give long term growth and therefore share holder value.

My take on this is that we know ASM has made very successful acquisitions in the past, i.e., Microchemistry Oy Finland (ALD technology) and Genitech South Korea (PEALD) and both acquisitions have become crucial fundaments to ASM ALD domination today. However, ASM has not been that successful in ALD Large Batch business, which is dominated by Tokyo Electron and Hitachi Kokusai.

Kokusai also have Batch SiGe Epi process which could add to the ASM Epi business. Based on this it makes sense for ASM to acquire Kokusai. Timing is also good since it is also for sale and ASM has a lot of cash so it is very interesting times. However, you can imagine that also other OEMs are interested in Hitachi Kokusai so let´s see what the outcome will be.

Over to the call - ASM International President and CEO Chuck del Prado reported that as usual the ALD business was again the main driver in the third quarter. The revenue was led by foundry, followed by memory (3DNAND & DRAM) and not so much by logic, which decreased compared to 2Q/2016. The driver for in foundry and logic was driven by 10 nm investments taking place and in memory there was a drift from DRAM towards 3D NAND.

Foundry & Logic

The transition to 10 nm shows an increase in the number of ALD layers for which ASM has been been claiming additional business as compared to the previous 14 nm 16 nm generation. ASM expect to book record revenue in the foundry segment this year compared to previous years.

Memory

Following strong spending levels in 2015 DRAM the  spending went down substantially in 2016. A recovery in DRAM spending has been pushed out and is not expected to occur before mid 2017. The key driver will then be the 1X technology node (Samsung, Hynix and Micron).

For NAND flash that is in transition, the single wafer ALD market and customer spending in NAND flash has shifted from planar NAND to 3D NAND. As a consequence the multiple patterning in planar NAND has close to disappeared by now.

For 3D NAND Chuck del Prado announced that ASM has booked multiple XP8 tool orders for a number of ALD applications in the third quarter and ASM expect double digit growth in the 3D NAND single wafer ALD market in 2017.

For Xpoint (Intel & Micron) ASM announced that they have a R&D engagement for quite some time and are ready for the that market to take off. 
 
 
Eagle XP8 is a high productivity 300mm tool for PEALD applications. The Eagle XP8 PEALD system can be configured with up to four Dual Chamber Modules (DCM), enabling eight chambers in high volume production within a very compact footprint. (www.asm.com)

Future outlook of the ALD market

ASM maintain their forecast that the single wafer ALD market will show a double digit percentage decline in 2016. As explained above due to a significant drop in the memory segment, both in the DRAM and in NAND flash. The drop is only partially offset by a substantial increase in the logic/foundry segment, i.e., 10 nm investments.

For 2017 ASM expectation is that the (single wafer) ALD market will improve due to growthin logic/foundry and 3D NAND applications. However, DRAM spending will come later (mid 2017).

"... the longer term outlook for the single wafer ALD market, the outlook for structural growth remains strongly driven by miniaturization and the introduction of new materials and new complex device architectures. We still estimate that these markets, this market to double by the 2018, 2019 timeframe. Given the decline in the market in 2016, it is more likely that this will happen in 2019 than in 2018." - Chuck del Prado 

Chuck del Prado summarized the growth drivers in single wafer ALD to:
  • Complexity and low temperature requirements of advanced FinFET structures drive a strong increase in new ALD applications and layers.  
  • ALD-based multiple patterning is a key enabler of the 10 nanometer transition and also the 7 nanometer node in logic/foundry, and the transition from 14/16 nanometer to 10 nanometer and 7 nanometer, over a multiyear period, will expand the single wafer ALD served available market in logic/foundry to more than double in total. 
  • In DRAM, we expect multiple patterning to remain a steady contributor for the coming technology transition. 
  • In NAND, from a lower base in 2016, we foresee a steady increase in the number of single wafer ALD applications as customers transition to next generation higher stack 3D NAND devices in the coming years.
During the questioning at the end of the call Chuck del Prado reassured the statement form earlier that the the more than doubling in ALD growth is the transition from 16/14 nm to 10 and 7. I assume he also include the single wafer opportunities in 3DNAND and the 1x nm DRAM invest up ahead.

For us ALD R&D guys it would mean that we would need to increase our R&D effort all the same and plan for >1600 delegates at the next European ALD conference 2020 as ALD2016 Ireland had 811 delegates - or has ALD transitioned form a technology push to a Industry pull already some years ago?