Showing posts with label Picosun. Show all posts
Showing posts with label Picosun. Show all posts

Thursday, March 7, 2024

Aalto University in Finland Wins Major Grant for Eco-Friendly Semiconductor Technology

Aalto University, in close collaboration with key industry players including Applied Materials in Finland (Picosun), PiBond, and Volatec, has been awarded a significant grant by Business Finland for their groundbreaking project titled “New chemistries for resource-efficient semiconductor manufacturing”. This initiative is a part of the larger "Chip Zero" Ecosystem, spearheaded by Picosun, aiming to revolutionize the semiconductor industry by developing chips that boast zero lifetime emissions—a first in Finland's tech landscape.

Led by Professors Maarit Karppinen and Antti Karttunen from Aalto's Department of Chemistry and Materials Science, the project seeks to address the pressing environmental concerns associated with semiconductor manufacturing. With the industry's carbon footprint and resource consumption at an all-time high, this co-innovation venture promises to pave the way for more sustainable production methods.



Dr. Ramin Ghiyasi working in the CHEMI-SEMI project holding a silicon wafer after atomic layer deposition, Department of Chemistry and Material Science

The project's goals are ambitious yet crucial. By innovating new chemical processes and materials, the team aims to minimize the environmental impact of semiconductor fabrication. This includes the development of novel, eco-friendly precursors and solvents, enhancing material purification, and advancing recycling practices, as highlighted by Dr. Marja Tiitta from Volatec.

Dr. Thomas Gädda of PiBond emphasizes the importance of collaborative efforts in achieving these sustainability targets, underscoring the project's reliance on a synergy of expertise from academia and industry. This collaborative framework is expected to yield advancements in chemical usage, process optimization, and energy efficiency in semiconductor manufacturing.

With its comprehensive approach, combining experimental research with computational modeling, the project aspires not only to innovate within the confines of semiconductor technology but also to set a new standard for environmentally conscious manufacturing practices in the industry.

Source: Significant Grant for Greener Semiconductor Technology from Business Finland | Aalto University

Saturday, January 20, 2024

Unveiling the Future of Material Science: Key Takeaways from the MLD and ALD Webinar

In the dynamic world of material science, the recent Applied Materials Picosun webinar held on January 16, 2024 centered on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), offered a deep dive into these groundbreaking technologies and their applications in crafting advanced functional properties. 

LINK to recording: Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

The webinar was given by Topias Jussila, Doctoral Researcher, Aalto University, Finland. Let's explore how ALD and MLD are shaping the future of materials at the nanoscale.

The Emergence of MLD

Molecular Layer Deposition, though a relative newcomer compared to ALD, has quickly garnered attention for its unique capabilities. MLD, which operates on the principle of sequential molecular layering, offers a versatile platform for creating hybrid materials with tailored properties. The webinar expertly delineated the different types of MLD, such as metal-aliphatics, metal-aromatics, and inorganic-organic multilayers, each presenting its distinct advantages in material fabrication.

 


Synergy of ALD and MLD

The fusion of ALD with MLD emerged as a focal point of discussion. This combination enhances the material properties, allowing for precise control at the nanoscale. The synergy of ALD and MLD opens doors to innovative applications, particularly in microelectronics and nanotechnology, by creating materials with unprecedented electrical, optical, and mechanical properties.

 


Applications That Reshape Industries

The practical applications of MLD and ALD-MLD are vast and varied. Key areas include:

Flexible Barrier Layers: MLD is particularly effective in creating ultra-thin, flexible barrier layers that are impermeable to gases and moisture. This is crucial for applications like organic light-emitting diode (OLED) displays and flexible electronics, where moisture and oxygen can degrade the performance of the devices.

Encapsulation: MLD provides an excellent method for encapsulating sensitive components, protecting them from environmental factors without compromising their functionality.

Photocatalysis: MLD materials are used in photocatalysis applications, which are important in environmental remediation and energy conversion technologies.

Electronics and Semiconductors: The combination of MLD with ALD is particularly advantageous in the electronics and semiconductor industries. It enables the precise deposition of thin films with tailored electrical and optical properties, crucial for advanced microelectronics and photonics.

Biomedical Applications: The versatility of MLD and ALD-MLD coatings also finds applications in the biomedical field, such as in drug delivery systems and bioimaging, where biocompatibility and controlled interactions with biological environments are essential.

Industrialization and Future Outlook

As for the industrialization of MLD, it is a relatively newer field compared to ALD. While ALD has been widely industrialized, particularly in the semiconductor industry, MLD is still primarily in the research and development stage, with growing interest in transitioning to industrial applications. The unique capabilities of MLD in creating organic-inorganic hybrid materials are driving research and potential industrial applications, but widespread industrial adoption might still be in progress.

Conclusion

The ALD and MLD webinar served as a beacon of knowledge, shedding light on the latest advancements and future prospects of these technologies. As we step into an era where material science plays a critical role in technological advancements, the insights from this webinar not only educate but also inspire further exploration and innovation in the field. The future of material science, undoubtedly, holds exciting possibilities, with ALD and MLD at its forefront.


Background: Topias Jussila is a second year PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. Topias carried out his Bachelor’s degree in Chemistry at the University of Helsinki and Master’s degree in Functional Materials at Aalto University. For the past two years, Topias has worked intensively with atomic layer deposition (ALD) and molecular layer deposition (MLD) with a target to develop novel thin film materials with advanced functional properties, having the main focus in iron-based inorganic and inorganic-organic materials. In addition to deposition process development, he has employed a wide range of thin film characterization methods to study the composition, structure, and functional properties of the thin films.

Wednesday, December 20, 2023

Announcement: Webinar on ALD and MLD Techniques for Advanced Functional Materials

Join us for an enlightening webinar on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), showcasing their combined prowess in the creation of novel inorganic-organic materials. This event is an excellent opportunity for those interested in advanced material sciences and engineering.


Date and Time: Tuesday, 16th of January, 2024 at 14:00 CET

Duration: 45 minutes

This session will provide a comprehensive overview of ALD and MLD, contrasting them with traditional solution-based methods. We will delve into how these techniques enable the formation of high-quality thin films, crucial for practical applications in areas such as optical data storage and wearable energy harvesting devices.

Key Highlights:

- An introduction to ALD-MLD techniques.

- Exploration of state-of-the-art inorganic-organic thin films, including photoactive ferrimagnetic and thermoelectric hybrid thin films.

- Discussion on technical challenges with organic precursors and solutions for industrial-scale application.



Guest Speaker: Topias Jussila, Doctoral Researcher, Aalto University

Topias Jussila is a promising PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. With a background in Chemistry and Functional Materials, his current research focuses on the development of novel thin film materials using ALD and MLD, particularly in the realm of iron-based materials.


Don't miss this opportunity to gain insights into the cutting-edge world of thin film materials and their applications. Register today to secure your spot!


For more information and registration, visit Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

Saturday, September 9, 2023

SkyWater Installs Advanced Atomic Layer Deposition System from Picosun

SkyWater Technology (SkyWater Technology | U.S. Semiconductor Manufacturer) has announced the installation of an Atomic Layer Deposition (ALD) system, the Applied Picosun MorpherTM, to offer advanced semiconductor processing capabilities to its customers. ALD is a precise method for depositing ultra-thin, uniform, and conformal material layers, making it ideal for applications like sensors and emerging memory technologies. SkyWater's Technology as a Service (TaaS) model allows customers to access this technology for developing and producing innovative technologies. The ALD tool has two thermal batch chambers for depositing metals and oxides, ensuring high-quality and repeatable results. This move aims to meet the increasing demand for ALD applications in semiconductor processing, particularly in areas such as biosensors, photonics, and extreme CMOS applications.


SkyWater Minnesota Fab (SkyWater)

SkyWater Technology is a leading U.S.-based semiconductor manufacturer with a unique Technology as a Service (TaaS) model. They offer a wide range of semiconductor solutions, serve various industries, and emphasize innovation and quality. Their expertise spans diverse semiconductor categories, from mixed-signal CMOS to photonics, and they are accredited as a Category 1A Trusted Foundry by the Defense Microelectronics Activity (DMEA).


The Morpher ALD tool is designed for precise and controlled deposition of thin material layers, making it suitable for various semiconductor applications. It is configured with two thermal batch chambers, one for depositing metals and another for depositing oxides, allowing for flexibility and efficiency in semiconductor fabrication processes. This tool is being installed at SkyWater Technology to provide their customers with access to ALD capabilities for developing and producing advanced semiconductor technologies.


Tuesday, September 5, 2023

Revolutionizing Pharmaceutical Packaging and Labware: ALD Technology Enhances Material Properties

Picosun, an Applied Materials company, is proud to announce a breakthrough in enhancing the properties of packaging materials and labware using Atomic Layer Deposition (ALD) technology. ALD offers impermeable barriers and functional surfaces, revolutionizing pharmaceutical packaging and labware for the better.

Date: 12th December Time: 3:00 PM London / 10:00 AM New York

As pharmaceutical packaging evolves to accommodate biological drugs and novel packaging solutions, ALD technology steps in to provide ultra-thin, uniform, and precise material layers with atomic-level thickness and composition. This innovation caters to the demands of primary packaging materials and labware, offering impermeable barriers against leachables and ensuring biocompatibility.

Join us for an insightful webinar to explore how ALD can elevate the performance of packaging materials and labware. Stay ahead in the world of pharmaceutical and medical advancements!

Register now to secure your spot and gain valuable insights. Stay at the forefront of innovation with Picosun and ALD.




Friday, February 10, 2023

Picosun contributes funds to Aalto University to strengthen semiconductor know-how

The growth of the semiconductor sector and its investments in Finland are also increasing the need for skilled personnel. Picosun is one of four semiconductor companies contributing funds to Aalto University to hire twelve summer interns to work in six different research groups for the summer. The Semi Summer 2023 program jobs are intended for the School of Electrical Engineering and the School of Chemical Engineering students.

The other three companies contributing are Okmetic, Murata and KYOCERA Tikitin.

“We are grateful for this support to strengthen semiconductor education in Aalto. We have excellent facilities in Micronova Nanofabrication Centre. Teaching in semiconductor technology is exceptionally expensive due to cleanliness and safety requirements, and the donation will enhance our capabilities significantly”, explained Professor Sami Franssila.

“Understanding semiconductor properties and their modification techniques are key drivers in developing smaller, faster, and cheaper devices. Semiconductors are used everywhere, in electronics, energy technology, medicine, telecom, and the field is in rapid growth both in Finland and globally, with increasing demand for specialists”, adds Professor Markku Sopanen.

Read the News at Aalto University’s site.


Jussi Rautee signing the Deed of Donation

Friday, December 23, 2022

Picosun initiates R&D program in Finland for sustainable semiconductor manufacturing

ESPOO, Finland, 22nd of December 2022 – Picosun, an Applied Materials company, has received funding from Business Finland to form an R&D program in the country focused on reducing the environmental impact of semiconductor manufacturing.

The four-year program, called “Chip Zero,” seeks to bring together companies across the semiconductor ecosystem in Finland with a shared mission of developing chips with zero lifetime emissions by reducing the carbon footprint of chip manufacturing and increasing the efficiency of semiconductor decarbonization applications. Picosun will initiate and lead the program with a significant grant from Business Finland. The aim is to scale the program over time with contributions from ecosystem partners to reach more than 100M€ in R&D investments.


Photo, Björn Engström, Oravais, Ostrobothnia, Finland.

Chip Zero will include efforts to reduce energy consumption and the environmental impact of chemical use in semiconductor manufacturing. Specific goals include achieving a 50-percent reduction in energy and chemical consumption of thin-film deposition and a double-digit increase in the efficiency of power electronic component applications, such as electric vehicle (EV) chargers and electric motor control electronics, by 2030. Among the strategies to achieve these goals is the development of optimized process flows for chip manufacturing.

“Picosun is excited to lead the Chip Zero program and bring together Finland’s strong technology R&D ecosystem with a common objective of developing more efficient chips and minimizing the environmental impact of manufacturing. We look forward to collaborating across the value chain to drive critical advances that will accelerate a greener and more sustainable semiconductor industry in Finland,” says Dr. Jani Kivioja, CTO of Picosun.

“With the global semiconductor market projected to reach US$1 trillion by 2030*, the need for greater efficiency in chip manufacturing is more important than ever. Finland has significant and pioneering competences in key chipmaking technologies, and the Chip Zero program intends to focus these capabilities on strengthening the country’s sustainability efforts,” continues Jussi Rautee, CEO of Picosun and Vice President of Applied Materials.

“The opportunity for technology to shape a more sustainable world has never been more promising,” says Chris Librie, Applied Materials Director of ESG, Corporate Sustainability and Reporting. “At Applied Materials, our vision is to Make Possible a Better Future, and we are pleased that our team in Finland is making a positive difference through programs like Chip Zero that aim to reduce the environmental impact of computing.”


Friday, November 25, 2022

Applied Materials Delivers Strong FY 2022 Numbers including Picosun ALD

Semiconductor equipment maker Applied Materials has reported strong earnings for FY 2022 (ended October 30). Total sales revenue increased 12% YoY to $25.78 billion despite supply chain shortages, geopolitical and macroeconomic headwinds, and softening consumer demand.

LINK: https://ir.appliedmaterials.com/

The company’s uniquely enabling technology and growing installed base will be its key growth drivers as chipmakers accelerate ramping up of new process nodes in R&D for high-volume manufacturing.




Key developments in FY 2022
  • Applied Materials acquired Picosun, a Finland-based innovator in atomic layer deposition (ALD) technology. This acquisition broadens Applied’s product portfolio and puts it in a great position to capture a large portion of the specialty semiconductor market in the coming years.
  • Collaboration with the Institute of Microelectronics (IME), a research institute under Singapore’s Agency for Science, Technology and Research (A*STAR). IME’s strategic R&D capabilities complement well Applied’s expertise in advanced packaging solutions and will accelerate material, equipment and process technology solutions for hybrid bonding and other emerging, 3D chip integration technologies.
  • Introduced new Ioniq™ PVD system to solve wiring resistance challenges of 2D scaling. This new integrated solution offers a significant reduction in electrical resistance, which has become a critical bottleneck to further improvements in chip performance and power.
Financial highlights
  • Semiconductor Systems revenue increased 15% YoY in FY 2022 to $18,797 million on account of strong orders as customers continued to invest in next-generation technology.
  • Applied Materials’ service revenue increased 11% YoY in FY 2022 to $5,543 million, accounting for 21% of the annual net revenue.
  • Display and Adjacent Markets revenue decreased 19% YoY in FY 2022 to $ 1,331 million.
  • Non-GAAP gross margin was at 46.6% in FY 2022.
  • Non-GAAP operating profit grew by over 7% to $7.86 billion.
  • Non-GAAP EPS increased nearly 13% to $7.70.
  • Total ending backlog increased 62% to $19 billion with Semiconductor Systems backlog increasing 90% to nearly $12.7 billion and services backlog increasing 30% to over $5.6 billion.
  • The company generated about $5.4 billion in operating cash flow and over $4.6 billion in free cash flow.
  • The company’s installed base grew 8% YoY in FY 2022.
  • The number of tools under comprehensive, long-term service contracts grew 16% YoY with the over 90% renewal rate for these agreements demonstrating the value customers see in subscription services.
  • New export regulations for US semiconductor technology sold in China reduced Semiconductor Systems and AGS fourth quarter revenue by approximately $280 million.

Thursday, September 1, 2022

WEBINAR - Production-suitable 200 mm batch ALD/MLD thin film encapsulation toward flexible OLED manufacturing

New Picosun webinar available! Spend insightful 20 minutes watching our latest webinar "Production-suitable 200 mm batch ALD/MLD thin film encapsulation toward flexible OLED manufacturing" 


In this webinar, we present characterization and scale up results of a thin film encapsulation solution, demonstrated by scaling a previously small scale process into a production-proven 200 mm batch ALD system.



Thursday, June 16, 2022

Applied Materials Broadens its Technology Portfolio for Specialty Chips with Acquisition of Picosun

SANTA CLARA, Calif., June 16, 2022 – Applied Materials, Inc. today announced it has acquired Picosun Oy, a privately held semiconductor equipment company based in Espoo, Finland. Picosun is an innovator in atomic layer deposition (ALD) technology, primarily for specialty semiconductors.



As electronic products become smarter and more connected, they require greater numbers of specialty semiconductors built on non-leading-edge process nodes. Applied’s ICAPS (IoT, Communications, Automotive, Power and Sensors) group provides materials engineering solutions to customers in these growing markets. The addition of Picosun’s ALD technology broadens the Applied ICAPS product portfolio and customer engagements. Picosun also brings to Applied deep R&D capabilities, talented teams and strong relationships with leading research institutions and universities throughout the world.

“Picosun is a pioneer in ALD technology with products that serve fast-growing segments of the specialty foundry-logic market,” said Gary Dickerson, President and CEO of Applied Materials. “The addition of Picosun complements Applied Materials’ technology portfolio and expands our opportunities to accelerate our customers’ roadmaps.”

“Rapid growth in the number of connected devices is driving a tremendous need for innovation in the chips used to bridge the analog and digital worlds,” said Sundar Ramamurthy, Group Vice President and General Manager of the ICAPS group at Applied Materials. “Bringing Picosun’s talented team to Applied Materials will strengthen our ability to help customers add more intelligence and functionality to a wide variety of edge computing devices.”

“Picosun has a strong history of innovation, with roots dating back to the invention of ALD technology nearly 50 years ago,” said Kustaa Poutiainen, Former Chairman of the Board of Picosun. “As we look ahead to our next phase of growth, we believe starting a new journey as part of Applied Materials – a company we have long admired – is the best path forward and will create exciting opportunities for our employees, customers and research partners.”

The Picosun team will continue to be based in Finland and will report into Applied’s ICAPS group.

The transaction has been approved by the Ministry of Economic Affairs and Employment of Finland; no other regulatory approvals were required. Financial terms of the transaction were not disclosed.

Goldman Sachs & Co. LLC served as financial advisor and Hogan Lovells US LLP served as legal counsel for Applied Materials.

About Applied Materials

Applied Materials, Inc. (Nasdaq: AMAT) is the leader in materials engineering solutions used to produce virtually every new chip and advanced display in the world. Our expertise in modifying materials at atomic levels and on an industrial scale enables customers to transform possibilities into reality. At Applied Materials, our innovations make possible a better future. Learn more at www.appliedmaterials.com.

About Picosun

Picosun provides the most advanced ALD (Atomic Layer Deposition) thin film coating solutions for global industries. Picosun’s ALD solutions enable a technological leap into the future, with turn-key production processes and unmatched, pioneering expertise in the field – dating back to the invention of the technology itself. Today, PICOSUN® ALD equipment are in daily manufacturing use in numerous leading industries around the world. Picosun is based in Finland, with subsidiaries in Germany, USA, Singapore, Japan, South Korea, China mainland and Taiwan, offices in India and France, and a world-wide sales and support network. Visit www.picosun.com.

Thursday, May 12, 2022

Picosun solution enables stretchable organic electronics manufacturing on large scale

ESPOO, Finland, 12th of May 2022 – Organic electronics enable everyday devices such as displays, lighting and sensors to have high energy efficiency, light weight and low manufacturing costs. These benefits have made organic electronics a mainstream technology today and great steps have been taken to realize the technology’s true potential. Finally, flexible and stretchable circuitry can be fabricated, paving the way for wearable devices and e-textiles.


This technological leap has left behind traditional encapsulation methods, such as heavy glass lids with limited stretchability. The biggest downside of organic electronics, however, is their susceptibility to oxidation by moisture. This moisture ingress can have a direct impact on device performance and longevity. To address this challenge, thin film encapsulation solutions (TFEs) have been introduced as a key technology, heavily relying on vacuum-based thin film deposition techniques like atomic layer deposition (ALD). Ultra-thin ceramic ALD films serve as an effective moisture barrier but can crack under stress unless combined with more elastic molecular layer deposition (MLD) films.

Picosun has brought a stable MLD process to the realm of batch processing with PICOSUN® P-300B ALD tool with batch sizes up to 27 pieces of 200 mm wafers. The results show wafer-to-wafer uniformity of 1% and several Å/min growth rates. When combined with ALD in a nanolaminate, the resulting TFE can resist defects when up to 2% tensile stress is applied and the coatings exhibit a steady-state and effective water vapour transfer rate (WVTR) in a range of 10-6 g/m2*d in ambient conditions, when measured from a very large sample area (3320 mm2) to reflect a real-world application.

“We see immense possibilities for our customers with the Picosun’s proven capabilities of depositing ultra-barrier performance TFEs on large scale. As an example, the future OLED manufacturing can be upscaled without fearing for the reliability of the devices”, states Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

Tuesday, April 5, 2022

Picosun ALD and NYCU in Taiwan enhances micro-LED efficiency

ESPOO, Finland, 5th of April 2022 – Picosun Atomic Layer Deposition (ALD) has played a vital role in enhancing electro-optical characteristics of micro-LEDs in research done by National Yang Ming Chiao Tung University (NYCU) in Taiwan.

The usage of dielectric films as a passivation material is a popular technique to suppress dangling bonds as well as to improve output power and external quantum efficiency in LEDs. The study conducted at NYCU compared III-Nitride micro-LEDs of different sizes with and without ALD Al2O3 passivation. The results showed external quantum efficiency enhancement of 70% for 5 µm × 5 µm micro-LEDs and 60% for 10 µm ×10 µm micro-LEDs when using ALD Al2O3 passivation.



In addition, to achieve full color display, an inkjet printing to pattern quantum dots automatically has been developed at NYCU. The solution can considerably improve the precision of color pixels and satisfy the high-resolution requirements. Picosun ALD passivation technology was successfully used for preventing the quantum dots from photo-oxidation and degradation. After a 500 hours environmental reliability test, the color gamut remained at excellent level.*

“Micro-LED technology has been the disruptive technology in the next generation displays, and more application areas are emerging its benefits being long lifetime, high power efficiency and high brightness. With quantum dot-based technology micro-LEDs can be used in applications such as virtual and mixed reality as they allow the use of single-color, blue, micro-LED chips resulting in lower manufacturing costs. Our study has proved that ALD passivation plays a key role in upcoming nanometer-scale devices”, says Hao-Chung Kuo, professor at NYCU.

“Picosun’s ALD technology has been production-proven at many prominent LED manufacturers. ALD films’ superior conformality and uniformity, and their ability to ensure reliable, pinhole-free encapsulation even at extremely low film thicknesses is a key benefit. Furthermore, the ALD process can be run at moderate temperatures”, explains Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

Monday, January 24, 2022

Picosun part of extensive quantum technology 10 Million Euro QuTI project is coordinated by VTT Technical Research Centre of Finland

ESPOO, Finland, 18th of January 2022 – Picosun takes part as an industrial partner in QuTI, a recently launched extensive research project aiming to develop new components, manufacturing and testing solutions that are needed in quantum technology. Quantum technology has gained interest in a vast array of industries on a large scale. The remarkable performance improvements it offers enable for example powerful computing and benefits in communications, healthcare, sensors, imaging and measurement applications.



The QuTI project is coordinated by VTT Technical Research Centre of Finland, and it has a total budget of around 10 million euros. Other industrial partners of the consortium include Bluefors, Afore, IQM, Rockley Photonics, CSC, Quantastica, Saab and Vexlum. The research partners are VTT, Aalto University and the University of Tampere.

“Quantum technology is a multidisciplinary and rapidly advancing field. The QuTI consortium provides an ideal starting point for strengthening the international competitiveness of Finnish technology and industry in this fast-growing field,” says QuTI project’s coordinator, Research Professor Mika Prunnila from VTT.

“Quantum technology has already taken the step from research laboratories to commercial applications. We look forward in supporting this development trend even further and being part of creating a globally competitive industrial ecosystem in Finland around this technology,” says Dr. Jani Kivioja, CTO of Picosun Group.

“Atomic Layer Deposition, or ALD, is the advanced thin film coating method for ultra-thin, highly uniform and conformal material layers that enables the digital solutions of today. It will also play a crucial role in future innovations and in the quantum computing, communication and sensing devices that will be developed in the QuTI project”, continues Jussi Rautee, CEO of Picosun Group.




More information:
Jani Kivioja
CTO, Picosun Group
Tel: +358 46 922 8804
Email: info@picosun.com

Picosun provides the most advanced ALD (Atomic Layer Deposition) thin film coating solutions for global industries. Picosun’s ALD solutions enable technological leap into the future, with turn-key production processes and unmatched, pioneering expertise in the field – dating back to the invention of the technology itself. Today, PICOSUN® ALD equipment are in daily manufacturing use in numerous leading industries around the world. Picosun is based in Finland, with subsidiaries in Germany, USA, Singapore, Japan, South Korea, China mainland and Taiwan, offices in India and France, and a world-wide sales and support network. Visit www.picosun.com.


Tuesday, November 23, 2021

Picosun Group has launched an ALD Medical Materials Library

Picosun Group has launched a Medical Materials Library consisting of over ten materials intended for medical-related coating and encapsulation with ALD.


"Picosun’s Medical Materials Library targeted to the medical device manufacturer segment reflects the innovative spirit of the company. I’m really excited over the fact that we are able to flexibly design tailored encapsulations according to our customers’ needs. I’m especially proud of our medical customers and partners who are already in pre-clinical and clinical trials with their ALD coated devices”, says Juhani Taskinen, Vice President, Medical Business Area of Picosun Group.



The materials library is a reflection of over six years extensive research and development that shows ALD can render biocompatibility to a substrate coated with Picosun library materials. Biocompatibility tests were carried out according to ISO standards in accredited third-party laboratories. The performed tests included for example cytotoxicity, antimicrobial activity, skin irritation or sensitization, hemocompatibility, bacterial endotoxins, bioburden and sterilization residuals. From the tested materials Picosun is able to design tens of different types of end materials according to customer needs.

ALD technology provides dimensionless coating thus enabling miniaturization of components and devices in the field of electronic implants. Antibacterial function together with excellent barrier properties and outstanding corrosion resistance pave the way for using the materials both in electronic and orthopedic implants and show high potential in pharmaceutical packaging. The superior film uniformity and conformality ensure a pinhole-free coverage over even the smallest details of the device.


Tuesday, November 9, 2021

ALD Webinar on Coatings for Electronic Implants

Tune into Picosun's webinar on Thursday 16th December 2021, 4PM CET, to discuss the benefits of ALD compared to the conventional coating methods used in electronical implants!
 



Digital healthcare has seen a tremendous development during past years with implantable electronics being part of ever-increasing number of treatment plans for patients. Consequently, need for advanced medical devices is rapidly expanding with ever tightening requirements as increasing number of complex therapeutic devices are implanted on the most sensitive areas of the body such as brain, spine, heart and eyes.

To ensure long lifetime and to reduce potential side effects in such environment, particular attention needs to be paid to the protective and biocompatible coating providing hermetic sealing, structural integrity and corrosion resistance to protect the device from the detrimental impact due to human body environment and to prevent the device releasing harmful substances to the surrounding tissue. The perfect protective coating therefore needs to be a biocompatible, pinhole-free barrier capable of uniformly coating devices with widely varying sizes and shapes to render them inert towards human body and vice versa, for decades after implantation.

Traditional thick film coating methods such as CVD, PVD or parylene tend to have limited utility on one or more of the requirements above. Atomic Layer Deposition (ALD) technology allows fabrication of ultra-thin, highly uniform and conformal material layers of exact thickness to atomic level and chemical composition on a variety of substrates, including highly temperature-sensitive organic materials. The technology was originally brought into large scale use by the semiconductor industry but has after that been applied to a variety of applications, including the latest MedTech innovations. Using ALD as the coating method for electronical implants improves patient safety as well as ensures longer lifetime and improved reliability for the implant.

Picosun’s ALD solutions have been in production for years at various medical industries including electronical implant protection. The coatings have been tested and proven to be non-cytotoxic, biocompatible, and to have antibacterial or bioactive function.

Join our webinar to learn more how ALD will revolutionize the MedTech industry, especially in the field of electronical implants, and create added value and competitive edge to your products. We will present the latest results on the superior hermeticity of our ALD encapsulants as a protective barrier against human tissue fluid. We have proven results on suppression of bacterial growth and ultra-low levels of bacterial endotoxins, surpassing even the strictest requirements of implant industries.

Key Learning Objectives
The benefits of ALD compared to the conventional coating methods used in electronical implants
Practical examples how Picosun’s biocompatible, hermetic ALD encapsulant coatings can improve the operational reliability, lifetime and safety of electronical implants

Thursday, October 14, 2021

Picosun 200 and 300 mm Clustered ALD Batch tools setting a higher pace and pushing out more chips from the fabs

ESPOO, Finland, 14th of October 2021 – PICOSUN® Morpher has continued to demonstrate excellent batch process results in the latest acceptance runs the company has performed for its customers in the global semiconductor industry. Excellent uniformities (<1% 1sigma) and single-digit particle levels have been reached at a number of acceptance runs with different film materials such as Al2O3 and SiO2.

PICOSUN® Morpher was launched in 2019 for up to the 200 mm wafer markets. The tool’s strength is the adaptability to the changing needs of different business verticals, from corporate R&D to production and foundry manufacturing. It enables fully automatic and high throughput production of for example MEMS, sensors, LEDs, lasers, power electronics, optics and 5G components.



“The market showed great interest towards PICOSUN® Morpher after the launch and it has now become a leading product for the sub-300 mm production market. We have delivered PICOSUN® Morpher to a variety type of customers, the latest including world leading manufacturers that use the tool both for pilot and high-volume manufacturing of integrated circuits”, states Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

Earlier this year Picosun Group informed about record-breaking batch film quality results with PICOSUN® Sprinter, the Picosun new generation tool for 300 mm wafer markets.

Picosun ALD move into HVM for Optoelectronics at ams OSRAM

ESPOO, Finland, 28th of July 2021 – Picosun Group delivers cutting-edge Atomic Layer Deposition (ALD) technology to ams OSRAM for volume manufacturing of optical semiconductor devices.

ams OSRAM has invested in a fully automated PICOSUN® Morpher production cluster, which can deposit multiple materials on a batch of wafers even during the same process run. The flexibility and process variety of the PICOSUN® Morpher system is a key advantage, which enables volume production as well as the testing of new processes for R&D of future products.


Picosun Group and ams OSRAM have collaborated in a public funded project FLINGO (m-era.net project) to develop new ALD materials and processes to improve the characteristics of LEDs, such as efficiency and durability. The collaboration between the parties will continue after the ALD system delivery with activities to further expand the use of ALD in optoelectronic semiconductor processing.

“We have been working with Picosun since 2010 and now with this investment we can bring our collaboration to the next level. We are very excited to have the PICOSUN™ Morpher F cluster platform installed in our cleanroom”, states Dr. Sebastian Taeger, at ams OSRAM.

“The optical semiconductor market is one focus area of Picosun today. It is a fast-growing market where we have a strong presence with our tailored solutions for compound semiconductor-based devices. We have had excellent collaboration with the ams OSRAM technical team during project FLINGO and during the system specification stage. The expertise from both companies has resulted in optimized ALD solutions to boost the performance of the customer’s products.”, continues Dr. Christoph Hossbach, General Manager of Picosun Europe GmbH.

Thursday, September 30, 2021

ALD is everywhere - Take us it away, Picosun, born in Finland, born to ALD

ALD as a technology is not widely known to every man on the street. However, a new video shows how we are surrounded by it in our everyday lives.

Take us it away - Picosun, born in Finland, born to ALD



Sunday, September 19, 2021

ALD can improve surgical tools like scalpel blades and much more

A recent article published in MDPI (LINK) discusses a study where zinc oxide thin film was deposited on surgical knife blades with ALD. The study shows that surgical instruments coated with non-allergenic metal oxide coatings containing metal structures that reduce the growth of bacteria could significantly decrease the risk of undesirable reactions of the body during and after surgery.


"The use of ALD methods in medicine allows us to enter a completely new generation of in vivo medicine. The ALD method makes it possible to meet the high requirements regarding mechanical and anti-corrosion properties, chemical and thermal resistance, as well as biocompatibility for tools used in medicine."

Here ALD coatings performed in a Picosun R 200 System have been investigated by Polish researchers.

Application of ALD Thin Films on the Surface of the Surgical Scalpel Blade

1
Department of Engineering Materials and Biomaterials, Silesian University of Technology, Konarskiego 18a Str., 44-100 Gliwice, Poland
2
Scientific and Didactic Laboratory of Nanotechnology and Material Technologies, Faculty of Mechanical Engineering, Silesian University of Technology, Towarowa 7 Str., 44-100 Gliwice, Poland
3
Faculty of Biomedical Engineering, Silesian University of Technology, Roosevelta 40, 41-800 Zabrze, Poland
*
Author to whom correspondence should be addressed.
Academic Editor: Angela De Bonis
Coatings 202111(9), 1096; https://doi.org/10.3390/coatings11091096
Received: 11 August 2021 / Revised: 3 September 2021 / Accepted: 7 September 2021 / Published: 11 September 2021


Tuesday, September 7, 2021

Picosun Innovation Lab, opened in September 2021

Picosun Innovation Lab, opened in September 2021, will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.




ESPOO, Finland, 7th of September 2021 – Picosun Group has taken into use new facilities at its production laboratory in Kirkkonummi, Finland. The Picosun Innovation Lab will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.

The Innovation Lab hosts Picosun’s new generation tools PICOSUN® Morpher and PICOSUN® Sprinter. Morpher was launched in 2019 and it started a completely new era in Picosun products. Its adaptive and versatile nature makes it an ideal ALD solution for to the changing needs of different business verticals in the up to 200 mm wafer industries. Sprinter was launched late 2020 for the 300 mm wafer markets to meet the ever-increasing demands of semiconductor, display and IoT component manufacturing lines. It has brought single wafer film quality and uniformity for fast batch processing and met the challenges in high volume ALD manufacturing.

The Innovation Lab increases the laboratory capacity Picosun currently has on its premises significantly. The new facilities will have the ability to host tens of ALD tool modules. The facilities also support a variety of process gases including for example N2, O2, O3, Ar, H2, NH3 and NF3. Furthermore, special attention has also been paid for the best-in-class building management and safety systems.

“The opening of the new Innovation Lab reflects our role in being the pioneer in ALD and continuing the daily work in setting the standards for future innovations in the ALD sphere. The Innovation Lab has been a big investment for the company, but we see this as an essential investment to our and our customers’ future”, says Jussi Rautee, CEO of Picosun Group.