Friday, February 27, 2015

Catalyst Design with Atomic Layer Deposition

A new ALD review on catalyst design from University of Wisconsin−Madison, Argonne National Laboratory and Northwestern University:

Catalyst Design with Atomic Layer Deposition

Brandon J. O’Neill, David H. K. Jackson, Jechan Lee, Christian Canlas, Peter C. Stair, Christopher L. Marshall, Jeffrey W. Elam, Thomas F. Kuech, James A. Dumesic, and George W. Huber

ACS Catal., 2015, 5, pp 1804–1825
DOI: 10.1021/cs501862h
Publication Date (Web): February 6, 2015

Atomic layer deposition (ALD) has emerged as an interesting tool for the atomically precise design and synthesis of catalytic materials. Herein, we discuss examples in which the atomic precision has been used to elucidate reaction mechanisms and catalyst structure–property relationships by creating materials with a controlled distribution of size, composition, and active site. We highlight ways ALD has been utilized to design catalysts with improved activity, selectivity, and stability under a variety of conditions (e.g., high temperature, gas and liquid phase, and corrosive environments). In addition, due to the flexibility and control of structure and composition, ALD can create myriad catalytic structures (e.g., high surface area oxides, metal nanoparticles, bimetallic nanoparticles, bifunctional catalysts, controlled microenvironments, etc.) that consequently possess applicability for a wide range of chemical reactions (e.g., CO2 conversion, electrocatalysis, photocatalytic and thermal water splitting, methane conversion, ethane and propane dehydrogenation, and biomass conversion). Finally, the outlook for ALD-derived catalytic materials is discussed, with emphasis on the pending challenges as well as areas of significant potential for building scientific insight and achieving practical impacts.


Saturday, February 21, 2015

The 3rd Annual Seminar on Finnish Centre of Excellence in ALD on March 19, 2015.

The 3rd Annual Seminar on Finnish Centre of Excellence in Atomic Layer Deposition will be held during ChemBio Finland 2015 symposium on March 19, 2015. The seminar is free, and everyone is welcome to participate!

We offer the possibility for all participants to present their own ALD related work during the seminar in poster format. If you wish to bring a poster, please inform us beforehand by sending an email to Emma Salmi (University of Helsinki; forename.surname(at)helsinki.fi) by February 15, 2015.

CoE in ALD

The seminar program will consists of invited lectures given by two foreign and two Finnish lecturers and six shorter presentations given by the researchers of the ALD Centre of Excellence. The presentations will cover the areas of ALDCoE which are development of new chemistry and thin film processes for materials applicable in microelectronics and energy technologies.

Finnish Symposium on Atomic Layer Deposition
Chairman: Prof. Markku Leskelä, University of Helsinki

• 9.20 Finnish Centre of Excellence in Atomic Layer Deposition
Prof. Markku Leskelä, University of Helsinki

• 9.30 Atomic Layer Deposition for Semiconductor Roadmap Challenges
Dr. Jan Willem Maes (ASM International, The Netherlands)

• 10.05 ALD tunable resistance coatings: growth and applications
Dr. Jeff Elam, Argonne National Laboratories, USA

• Coffee break

• 11.10 Observing thin film conformality with VTT's microscopic lateral high-aspect-ratio test structures
Dr. Riikka Puurunen (VTT)

• 11.30 Thin films by photo-assisted ALD
Dr. Ville Miikkulainen (University of Helsinki)

• 11.50 The characterization of multiferroic ALD grown BFO films
Dr. Pasi Jalkanen (University of Helsinki)

• Lunch break

• 13.30 Molecular Layer Deposition
Prof. Maarit Karppinen, Aalto University

• 13.55 ALD for metallization
Mr. Juhana Kostamo, Managing director, Picosun Oy

• Coffee break

• 14.40 Porous silicon supercapacitors
Prof. Jouni Ahopelto (VTT)

• 15.00 Composite TiO2 photocatalysts by ALD – fundamental research towards water decontamination
Dr. Jani Hämäläinen (University of Helsinki)

• 15.20 ALD of 2D materials – opportunities and challenges
MSc Tiina Sarnet (University of Helsinki)

Thursday, February 19, 2015

Processing of graphene on 300mm HKMG Si device wafers in a CMOS Fab at CNSE

Processing of graphene on 300mm Si wafers in a state-of-the-art CMOS fabrication facility is now possible thanks to research at College of Na- noscale Science and Engineering, SUNY Polytechnic Institute, Albany NY.

It has been demonstrated that working MOSFETs with graphene channels can be fabricated in a conventional 300mm CMOS fabrication line using state-of-the-art process tools. The building blocks shown  can be used to fabricate other novel device architectures that can take advantage of the unique properties of graphene or other interesting single-layer (i.e., 2D) materials. Further optimization of graphene transfer and contact schemes intended to reduce overall resistance are ongoing and will the focus of future research.

Graphene 4-C

Higher magnification view of 100nm contact. Dotted line shows expected location of graphene (Image Solid State Technology)

A gate-quality 4nm HfO2 dielectric was deposited using an ALD process. Graphene was then transferred onto this HfO2 surface. This approach eliminates the need for a gate-quality dielectric deposition over the graphene.

Veeco has decided to lower its spending rate in FAST-ALD

Veeco's Q4 MOCVD revenue up 55% year-on-year while orders almost triple and during Q4/2014, Veeco successfully demonstrated its FAST-ALD (atomic layer deposition) technology for flexible organic light-emitting diode (OLED) encapsulation at a key customer. However, at the same time, the incumbent deposition technology has progressed to satisfy the current market requirements, and Veeco has not received any sizable orders for OLED encapsulation tools. While this opportunity "may not be permanently off the table", the firm has hence lowered the near-term revenue forecasts for its ALD technology and taken a non-cash asset impairment charge (goodwill, intangibles and property, plant and equipment) of $55m in Q4. Veeco has also decided to lower its spending rate in ALD, refocus R&D efforts on semiconductor and other applications, and continue to monitor the flexible OLED market opportunity.

ALD is a too powerful technology for The Merger



Bildergebnis für applied material tokyo electron

The Fair Trade Commission in Korea (FTC) said Monday that it will conditionally approve the proposed merger between Tokyo Electron and Applied Materials next month.

"The FTC reached a consensus to approve the Tokyo Electron-Applied Materials deal in Korea, next month, as previous rounds of talks resulted in limiting competition in certain business areas that the merged entity had huge bargaining power in," said an FTC official.

The official said the FTC is discussing with regulators in six countries including the United States and Japan to fix terms of details before agreeing the deal.

The Korean regulator recently held a secret meeting with top executives of local semiconductor parts suppliers to brief them on its decision for a conditional approval.

"Samsung Electronics and SK hynix submitted their views to the regulator over the possible impact on the local semiconductor industry after the deal approves. The merged unit guaranteed not to hurt fair competition," said another official.

Samsung Electronics and SK hynix declined to comment.

The two semiconductor majors as well as local parts suppliers initially objected to the Tokyo Electron-Applied Materials deal as Applied has already secured more than a 20 percent share in the Korean semiconductor equipment market.

These companies have expressed concerns about bundling and pricing power post-merger.

The Korea Semiconductor Industry Association (KSIA) has hired Bae, Kim and Lee (BKL) to represent domestic semiconductor players in arguing for concessions.

Applied Materials has retained Kim & Chang, the top foreign-to-foreign merger lawyer to negotiate with the FTC.

"The remedy has included a plan by the merged unit not to launch combined chemical vapor deposition (CVD) and atomic layer deposition (ALD) businesses in Korea. The merged unit plans to establish new entities for fair competition, if necessary," said the official.

Data from Gartner, a research firm, said Applied Materials was the global top semiconductor equipment supplier with 16.2 percent share by last year, followed by Tokyo Electron with 9.1 percent. That means that the combined share of the two will go over 25.3 percent.

"In principle, the FTC determines whether a proposed transaction substantially restricts competition in a particular market by considering multiple factors such as the market concentration before and after the business combination, possibility of joint conduct among competitors, possibility of new entrants, existence of similar goods and adjacent markets, increase in the entry barrier and foreclosure of competitors," said Gerald Foo, an analyst at Market Securities in London.

Beneq WCS 600 Web Coating System for Roll-to-Roll ALD

Beneq has introduced WCS 600, a new coating system based on the roll-to-roll atomic layer deposition (ALD) technique. Designed to suit the different needs of customers, this system has a coating capacity of 400,000m2/yr. It is capable of processing different types of substrate materials of varying thicknesses.

Beneq WCS 600 Web Coating System for Roll-to-Roll ALD
 
The WCS 600 features a vacuum chamber with ALD coating head, processing drum and web reels. The device is operated at temperatures up to 150°C.

The WCS 600 can be easily scaled up from R&D to pilot production for different industrial applications, including buffer layers for CIGS solar cells and moisture barriers for flexible organic electronics.

Key Features

  • The main features of the Beneq WCS 600 web coating system include:
  • True roll-to-roll ALD process
  • Non-contacting web handling
  • Precursor de-activation system
  • Electrical and control cabinet with PC user interface
  • Coating capacity of 400,000m2/yr
  • Maximum web width 600mm

Tuesday, February 17, 2015

Picosun Expands Its Production Capacity in ALD Equipment

PicosunOy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment, doubles its production capacity to enable faster delivery of cluster systems and automated batch ALD tools to its customers.

 Picoplatform-200-1
 
The demand for PICOPLATFORM™ vacuum cluster tools and PICOSUN™ P-series automated batch ALD systems is rapidly increasing in several branches of high volume manufacturing industries. Many of the world's largest foundries and electronics, LED, and MEMS manufacturers rely on Picosun'sALD technology to enable their most advanced new products. Fast and smooth ramp-up of the ALD production is an integral part of the first class customer support service, which constantly manifests itself in continuous repeat sales of PICOSUN™ ALD systems and happy customers around the world.

 
 
"Our unmatched knowledge in ALD system design ensures that our products meet even the strictest quality requirements of today's semiconductor industries with world-class process purity and yield with various automated, SEMI compliant wafer handling options. With the expanded production capacity, we can also deliver the shortest time to market for our industrial customers, seeking to take advantage of the full benefits of ALD," states Mr. TimoMalinen, Chief Operations Officer of Picosun.

Monday, February 16, 2015

US and South Korean researchers claim record performing tri-gate InGaAs MOSFET

According to a report in Semiconductor Today, researchers based in USA and South Korea claim a record combination of subthreshold swing (82mV/decade, 0.5V drain bias), transconductance (1800μS/μm) and on-current (0.41mA/μm) for any tri-gate quantum well indium gallium arsenide (InGaAs) metal-oxide-semiconductor field-effect-transistor (MOSFET) [Tae-Woo Kim et al, IEEE Electron Device Letters, published online 20 January 2015]. 
The gate insulation consisted of 0.7nm of aluminium oxide (Al2O3) and 2nm of hafnium dioxide (HfO2) deposited by atomic layer deposition (ALD).
Process flow, cross-sectional schematic and TEM image of a tri-gate InGaAs MOSFET, from longitudinal and horizontal direction.

Process flow, cross-sectional schematic and TEM image of a tri-gate InGaAs MOSFET, from longitudinal and horizontal direction.
The team was based at SEMATECH Inc in the USA, KANC in South Korea, and GLOBALFOUNDRIES in the USA.

Friday, February 13, 2015

Improving the reliability of dry vacuum pumps in high-k ALD processes

As reported in Solid State Technology : The use of high-k dielectric films deposited through atomic layer deposition, primarily in batch furnaces, has intensified, particularly in the manufacture of memory devices and high-k metal gates (HKMG) in logic devices. ALD uses a sequential purge and injection of the precursor gases to generate slow, but accurate growth of the films one atomic layer at a time. One of the precusors is typically a metal organic compound from a liquid source, commonly zirconium or hafnium-containing materials, followed by ozone to create the high-k film.

 
FIGURE 7. Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.
 
Pictures comparing the third stage of the original pump and New Pump B showing the different deposition patterns.
 
Wafers are usually processed in a furnace with batch sizes of 200 or more wafers. Reliability of the vacuum system is imperative to prevent contamination and consequent scrapping of the wafers. Unexpected failures can cause significant loss of work in process and process downtime. For example, if the vacuum pump seizes suddenly due to internal contamination by process by-products, the pressure in the pipe between the vacuum and furnaces rises, and there is a risk that powder deposited in the pipe will flow back into the furnace. This powder can not only contaminate wafers in the furnace, but also force a time-consuming clean-up that may remove the furnace from operation for a day or more.

The challenge


  • The mean-time-between-service (MTBS) for a vacuum pump used in semiconductor manufacturing varies greatly depending on the particular process it supports and the design of the pump. For the ALD processes considered here most failures caused process by-products can be grouped into four categories.
  • Corrosion – Attack on the metal components of the pump results in the opening of clearances leading to loss of base vacuum. Depending on the location of corrosion, the oxidation of the metal may actually generate powder that can cause seizure of rotating elements.
  • Plating – The deposition of metal compounds on the surface of internal components fouls internal mechanism clearances, causing the pump to seize.
  • Powder ingestion – Powder that enters the pump can jam rotating elements, leading to seizure.
  • Condensation – Compounds in the pumped gas stream transition from a gaseous to a solid phase within the pump, depositing on internal surfaces and eventually leading to loss of clearance and seizure.

Complete article here: http://electroiq.com/blog/2015/02/improving-the-reliability-of-dry-vacuum-pumps-in-high-k-ald-processes/

13th International Baltic Conference on Atomic Layer Deposition – Baltic ALD 2015

The 13th International Baltic Conference on Atomic Layer Deposition – Baltic ALD 2015 will be held in Tartu, Estonia at the Institute of Physics, University of Tartu, on September 28–29, 2015.

The conference is open forcontributions, which would cover but would not be limited to following topics:
  • Design of ALD reactors
  • Simulation and modeling of ALD
  • Characterization of ALD
  • Initiation of growth in ALD, ALD on graphene and related 2D materials
  • Surface cleaning and etching in ALD
  • ALD on powders and 3D substrates
  • Atomic layer doping, ALD of solid solutions and nanolaminates
  • Crystal growth in ALD, Atomic layer epitaxy
  • Applications of ALD (high-k, optical materials, magnetic materials, hard coatings, anticorrosion coatings, surface functionalization, etc.)

Following the Baltic ALD 2015 Conference, HERALD Day the annual meeting of the COST project HERALD (http://www.cost.eu/COST_Actions/mpns/Actions/MP1402) will be held at Dorpat Conference Center, Tartu, on September 30, 2015.

Important dates

April 29, 2015: abstract submission deadline
July 15, 2015: early bird registration deadline
September 7, 2015: registration deadline

Further information

Mereli Kivi;
PCO Publicon,
T: +3727402838,
E: bald2015@publicon.ee
http://www.bald2015.ee/

Please feel free to inform your colleagues who might be interested in this conference.
BALD2015 1st call in pdf format can be found here.

Thursday, February 12, 2015

Nanofabrik planeras i Lund / Nano Factory planned in Lund [Swedish]

En ny fabrik för att utveckla pilotprodukter inom nanoteknik kan komma att byggas i Lund. Om idén blir verklighet kan svenska företag gå direkt från utvecklingsfas till produktion utan att själva behöva investera i dyr utrustning eller lämna landet. Bakgrunden är att forskningen kring nanotrådar vid Lunds universitet har öppnat vägen för flera nya företag. Och med den nya fabriken hoppas man kunna behålla företagen i kommunen.

Nanofabrik planeras i Lund
— Vi hoppas att det ska kunna tas beslut innan årets slut, och på inflyttning till 2018, säger Lars Samuelson, professor i nanoteknik vid Lunds universitet.

Parallellt med detta arbetar Lunds universitet med att bygga ut forskningslabbet Lund nano lab. Tillsammans med fabriken skapas möjligheten att inom samma geografiska område gå från forskning till färdig produkt.


Pressmedelande från Lunds universitet: http://www.lu.se/article/fabrik-for-nanoteknik-planeras-i-lund 

En produktionsanläggning för pilotprodukter inom nanoteknik kan komma att byggas i Science Village i Lund, granne med ESS och Max IV. Vinnova och Region Skåne finansierar en förstudie av projektet som initierats av Nanometerkonsortiet vid Lunds universitet. 
 
Infrastrukturen, som fått namnet Nanova, är tänkt att vända sig till företag och forskare i hela Sverige som vill utveckla pilotprodukter och produkter med industristandard utan att själva behöva investera i dyr utrustning.

Upprinnelsen är den framgångsrika forskning inom nanotrådar vid Lunds universitet som bland annat resulterat i nanoteknik-företagen Glo AB och Sol Voltaics AB. Dock var Glo tvungen att flytta till Silicon Valley för få igång storskalig serieproduktion.  

- Med Nanova vill vi skapa förutsättningarna för att nya företag skall kunna utvecklas från R&D-fas till full produktion, utan att man skall behöva lämna Sverige, säger Lars Samuelson, professor i nanofysik vid Lunds universitet.

Han ser flera affärsmöjligheter för nanotrådar. Utöver Glos lysdioder och Sol Voltaics solceller finns enligt Lars Samuelson potential för nya företag inriktade mot tillämpningar inom bland annat elektronik, UV-lysdioder och biomedicin.

Förstudien ska resultera i en uppskattning av investeringsbehov och marknadspotential samt förslag till affärsmodell. Målet är att bli internationellt konkurrenskraftig och finansiellt självförsörjande.

Yvonne Mårtensson, som fram till årsskiftet var vd för CellaVision AB i Lund, leder sedan 1 februari arbetet med förstudien. Medlen från Vinnova och Region Skåne ligger på 2,2 Mkr och bekostar förstudien samt vissa satsningar i uppstartsfasen. Därutöver bidrar Medicon Village och näringslivet med personella resurser och på andra sätt in kind.

Parallellt med detta arbetar Lunds universitet för att bygga ut Lund Nano Lab som är ett renodlat forskningslabb för forskning kring nanotrådar. Detta drivs i Lunds universitets regi medan Nanova är ett projekt utanför universitetet.  Tillsammans utgör dessa två satsningar ett sätt att skapa hela värdekedjan från forskning till marknad.

Nanova är också ett initiativ i genomförandet av Skånes gemensamma innovationsstrategi som har smarta material som ett av tre fokus.

- Ett förverkligande av Nanova kommer att göra att Skåne tar ytterligare ett steg i satsningen på att bli Europas mest innovativa region 2020, en vision som etablerats av Forsknings- och innovationsrådet i Skåne, säger Daniel Kronmann, affärsutvecklare vid Region Skåne.

Ett kluster av företag och tjänster, med närhet till universitetets forskning, är tänkt att växa fram kring gemensamhetsutrustningen för nano-produktion.
 
Om Nanometerkonsortiet (Nanometer Structure Consortium at Lund University nmC@LU)
Nanometerkonsortiet vid Lunds universitet grundades 1989. Idag är det ett av Sveriges Strategiska Forskningsområden som engagerar mer än 250 forskare vid de tekniska, naturvetenskapliga och medicinska fakulteterna. Forskningen är fokuserad på materialvetenskap av nanostrukturer, samt dess tillämpning inom grundvetenskapliga studier, elektronik, optoelektronik, energiutvinning, och livsvetenskaper. Tidigare företagsavknoppningar från Nanometerkonsortiet har idag ungefär 150 anställda och har attraherat privata investeringar på mer än en miljard svenska kronor.
 

Thursday, February 5, 2015

HAM-LET Showcases Ultra Fast Diaphragm Valve for ALD

HAM-LET, a leading global manufacturer of instrumentation valves and fittings for industrial and high purity fluid and gas delivery systems is showcasing its UltraFast (UF series) Diaphragm Valve for Atomic Layer Deposition at Semicon Korea in Seoul, during 4-6 February, booth no.2878.

HAM-LET's UF Diaphragm Valve for for ALD Applications

“Our UF series unique flow adjustment mechanism, patent pending no. US 61/910,79, allows for precise flow adjustment and stable flow capacity during rapid high cycle operation,”said Mr. Felix Shestatski, Vice President of Quality, Engineering and R&D at HAM-LET.

Theoptional extended bonnet and cooling fin provide a superb solution when precise and repeatable performance in high-temperature applications is required. The UF series offers superior sealing performance and remarkable durability in hazardous environments, under severe demands of ultra fast actuation at ultra high purity (UHP) applications.

“Another advantage of our UF diaphragm valve is its outstanding durability and low maintenance, as it offers over 100 million life cycles.

The UF series meets the demand for high-precision diaphragm valves that can perform repeatable and reproducible performance over an extremely frequent and large number of cycles, required by ALD applications. In addition, the UF series offers a wide range of applicable accessories, such as: position indicators, direct mounted solenoid valves (for actuation speed optimization), integrated thermocouples, heaters and more” said Shestatski.

HAM-LET has received purchase orders of UF series by one of the strongest equipment manufacturer for Samsung. In addition, HAM-LET developed a special high temperature fully immersed version of UF valve for 120°C, enabling position indication and with special inductive sensor designator. The UF series is available in surface mount (IGS) and in-line type of connections, 2-way and multiport configurations.

HAM-LET will showcase a live demonstration of the UF series at Semicon Korea 2015, booth no. 2878, as well as exhibit its advanced actuated ball valves, Let-Lok® fittings, process valves and HTC fittings, which are available in Korea through HAM-LET's distributer, PFK.

aBeam fabricates patterns with linewidths down to 1.5nm

According to a report in Solid State Technology researchers at aBeam Technologies, Lawrence Berkeley National Laboratory and Argonne National Laboratory have developed a technology to fabricate test patterns with a minimum linewidth down to 1.5nm. The fabricated nanostructures are used to test metrological equipment. The designed patterns involve thousands of lines with precisely designed linewidths; these lines are combined in such a way that the distribution of linewidths appears to be random at any location. This pseudo- random test pattern allows nanometrological systems to be characterized over their entire dynamic range.
 
lawrence berk micro2 
lawrence berk micro1

TEM images of the test pattern with linewidths down to 1.5nm. The width of the lines was designed to form a pseudo-random test pattern; the pattern is used to characterize metrological instrumentation. The scale bar on the top image is 50nm. 


aBeam Technologies

Dr. Sergey Babin, president of aBeam Technologies said, “The semiconductor industry is moving toward a half-pitch of 11nm and 7nm. Therefore, metrology equipment should be very accurate, at least one order of magnitude more accurate than that. The characterization of metrology systems requires test patterns at a scale one order smaller than the measured features. The fabrication was a challenge, especially for such a complex pattern as a pseudo-random design, but we succeeded.”
 
 

Wednesday, February 4, 2015

ALD is the fastest growing thin film equipment technology

According to a recent market report released by Global Industry Analysts, Inc., Atomic Layer Deposition (ALD) represents the fastest growing equipment category driven by increasing adoption in the production of advanced semiconductor solutions for use in new generation electronic gadgets such as mobile phones, smartphones, PDAs, DVD players, portable media players, video games, home-theater systems, microwaves, and printers, among others.


Full report can be accessed here (for $4950): http://www.strategyr.com/MarketResearch/Thin_Layer_Deposition_Equipment_Market_Trends.asp

Key players covered in the report include AIXTRON SE, Applied Materials Inc., ASM International N.V., Canon ANELVA Corporation, CHA Industries Inc., CVD Equipment Corporation, Denton Vacuum LLC, Edwards Limited, Ionbond AG, Jusung Engineering Co. Ltd., KDF Electronic & Vacuum Services Inc., Kokusai Semiconductor Equipment Corporation, Lam Research Corporation, RIBER SA, Seki Diamond Systems, Silicon Genesis Corporation, SPTS Technologies, Taiyo Nippon Sanso Corporation, Ti-Coating Inc., Tokyo Electron Limited, ULVAC Technologies Inc., Vapor Technologies Inc. and Veeco Instruments Inc.