Saturday, December 17, 2016

LG Display partner with Apple, Google and Microsoft for foldable OLED displays

Previously this year there have been a number of rumors that OLED display manufacturers will start using ALD barriers in production of next generation smartphone display. Now recent reports suggest that LG Display will start supplying foldable OLED displays for tablet sized smartphones (see tweet below) that can be folded to a typical smartphone format. Until now there has been no reports on ALD barriers for this one but one can assume that it is a good opportunity for ALD to be developed for these type of extreme display applications.


ETN News Reports : It is confirmed that LG Display has partnered up with Apple and google and is developing ‘out-foldable’ displays for Smartphones. It is going to start mass-producing them in 2018 and supply them to Apple, Google, and Microsoft. It is predicted that Samsung Display and LG Display will compete against each other in order to grab upper hands in markets for foldable displays.
According to an industry on the 15th, LG Display is currently developing out-foldable panels for Smartphones. Unlike how Samsung Display is working with Samsung Electronics’ Wireless Business Department, LG Display is developing foldable devices with outside businesses such as Apple, Google, and Microsoft.

Full story : LINK



 

Thursday, December 15, 2016

Fantastic industry support for the joint EuroCVD - Baltic ALD conference 2017 in Sweden

The joint EuroCVD - Baltic ALD 2017 in Sweden has got a fantastic interest form the industry with respect to sponsoring and exhibition so far.never seen before for the individual conferences. We hope that also all you highly devoted researchers submit awesome abstracts until 10th of January 2017.
God Jul & Gott Nytt År!
önskar
Henrik Pedersen, Sean Barry, Jonas Sundqvist and Mats Boman


Abstract Submission
Abstracts will only be accepted though the online abstract submission system, which will be available on November 10, 2016. The deadline for submission is January 10, 2017.

The abstract will consist of two parts: the extended abstract and the summary:
 
The extended abstract can be up to 2 A4 pages, and must follow the extended abstract template available below for download. Please download the template here (Word .docx format). Save the completed extended abstract as a .pdf for submission. Note: only .pdf files will be accepted in the online submission process.




"ALE has been lurking in the shadows for some time"

Following IEDM 2016 in San Fransisco - Here is a very nice overview of the leading ALE equipment supplier status and applications for FinFET & Gate-All-Around Transistors, 3DNAND, DRAM, Multiple Patterning by Jeff Dorsch, technology editor at Semiconductor Engineering. 

"ALE has been lurking in the shadows for some time. It was first patented in 1988, but even today it is not needed for many etch applications. Its key role is in 3D NAND flash memory devices, where high-selectivity etching is needed for the high-aspect ratios with the hard masks, along with the channel formation and the staircase contact."

OEMs covered:
  • Applied Materials
  • Lam research
  • Hitachi High Technologies
  • Tokyo Electron
Besides the Tier 1 OEMs you will also find ALE chambers from:
Having recently started ALE research myself  working with Plasway Technologies GmbH and Lund Nano Lab on some really cool stuff, it is a big mystery why the ALD OEMs does not move into this field. It can only be explained by that plasma processing is very difficult -  a lot of Voodoo! To start with you need wafer bias, which many PEALD chambers does not have and secondly ceramic chamber parts for plasma facing parts. Finally, reactive ion etching operates at much lower pressure and ALD OEMs does not typically integrate turbo pumps to their chambers. 
The next opportunity to learn all about ALE will be at the ALE2017 that is held in conjunction to ALD2017 : http://www2.avs.org/conferences/ALD/2017/

DATE & LOCATION:  July 15-18, 2017
Sheraton Denver, Denver, Colorado





Wednesday, December 14, 2016

High-Throughput, Cost-Effective Deposition via Atmospheric Pressure Spatial Atomic Layer Deposition

Here is a new paper on atmospheric Spatial ALD from Kevin Musselman and co-workers at University of Waterloo in Canada. According to information at The Waterloo Institute for Nanotechnology web: "Musselman helped pioneer the use of atmospheric pressure spatial atomic layer deposition (AP-SALD) thin films in optoelectronic devices. He used these films to address key questions in the field of energy conversion and to develop new optoelectronic devices. Musselman produced thin films of metal oxide alloys with tunable optoelectronic properties with which he probed loss mechanisms in colloidal quantum dot solar cells, ‘hybrid’ polymer-oxide solar cells and light emitting diodes, and all-oxide solar cells. These metal oxide films were also used to produce new, colour-pure “hybrid” organometal halide perovskite light-emitting diodes."

Nanomanufacturing: High-Throughput, Cost-Effective Deposition of Atomic Scale Thin Films via Atmospheric Pressure Spatial Atomic Layer Deposition

Kevin P. Musselman, Chukwuka F. Uzoma, and Michael S. Miller
Chemistry of Materials 2016 28 (23), 8443-8452
DOI: 10.1021/acs.chemmater.6b03077

Kick-off event HERALD ECI network at EuroCVD-BalticALD

Recently a network for Early Career Investigators (ECIs) has been formed within HERALD to help young ALD scientists to establish themselves and to stimulate collaborations. Our first event will take place at the joint EuroCVD-BalticALD conference, which will be held in Linköping, Sweden on June 11-14, 2017. At this conference there will be a section at the Tuesday poster session where the posters of ECIs will be grouped together, such that we can meet each other and discuss our work. Moreover, there will be a dinner for members of the ECI network on Wednesday evening (June 14) with time for more informal interaction. 

 
To sign up for this event, please submit an abstract at http://www.eurocvd-balticald2017.se/, and in the abstract submission system, tick the box “Abstract for the HERALD ECI poster session”. The poster can be a short overview of you and the projects you are working on, or a more conventional conference contribution. Even if you intend to give an oral presentation at the conference, we would appreciate it if you can also submit an abstract for the HERALD ECI poster section to introduce yourself to the network. The abstract deadline is January 10, 2017. Please register for the dinner by sending an email to ville.miikkulainen@helsinki.fi, and send any questions regarding the EuroCVD-BalticALD conference and the HERALD ECI poster session to henrik.pedersen@liu.se.

If you would not be able to join us in Linköping, but would like to be informed about other HERALD ECI events, please contact us using heraldeci@gmail.com.

The HERALD ECI committee is looking forward to your participation. 

Grow Low Temperature Nitrides by Atomic Layer Deposition Without Plasma


Unique Hydrazine formulation and package enables HAR and 3D film studies for Laboratories


At ALD Lab Saxony we have excellent previous experience with employing the RASIRC technology for dry hydrogen peroxide delivery in High-k ALD processes for PV and Logic applications. That is why we are very happy to announce that RASIRC from San Diego, California will be sponsoring, attending and exhibiting at ALD for Industry 17-18th of January in Dresden Germany. This is an excellent opportunity to meet RASIRC and learn about their latest product release for safe and effective delivery of hydrazine for Atomic Level Processing. Please find the product fact sheet below.

RASIRC BRUTE® Hydrazine - Laboratory Version is specifically designed for universities and research institutes in development of Atomic Layer Deposition and Etch (ALD and ALE) processes with compressed thermal budgets. Hydrazine molecules can enable low temperature metal nitridation, opening up many potential avenues for advancements in High Aspect Ratio (HAR) and three dimensional structures grown via ALD and ALE.

“This new vaporizer package should enable researchers to investigate low temperature thermal nitride processes without a large budget.” - Jeff Spiegelman President and CEO of RASIRC.


Scientists working with TiNx, TaNx, SiNx, WNx, Platinum, Palladium, Cobalt or other new materials can benefit from using BRUTE Hydrazine. Additional benefits may be found from the generation of radical hydrogen and limited etching and surface cleaning effects. 



Figure 1: BRUTE Hydrazine (50ml). Based on RASIRC Drawing #202268

BRUTE Hydrazine delivers water-free hydrazine gas (N2H4) to process in a controlled, repeatable manner. Preloaded in a non-volatile solvent, the Brute Hydrazine chemistry is packaged in a single use vaporizer (Figure 1) with metal seals, making hydrazine gas simple to connect and deliver. 

Benefits of the Laboratory Version 

  • Sized for universities and research labs
  • Improved safety—RASIRC patent pending solvent delivery system raises the flash point
  • Simple plug-and-play design with a single connection to the vaporizer
  • Direct vacuum draw
  • Manual operation
  • Less chemistry than industrial ampoules, improving safety
  • Low cost
  • Smaller footprint

BRUTE Hydrazine Applications

BRUTE Hydrazine can be used for a wide range of low temperature processes including ALD, ALE, MOCVD and nitride materials development:
  • Contact barrier and other memory applications using TiN and TaNx
  • Precursor for TiN gate stack and other transistor applications
  • Sidewall spacer/etch stop (SiNx) between metals and silicon or dielectric materials
  • Multiple patterning involving SiO2 and SiNx
  • Selective deposition and etch involving metal nitrides and SiO2
  • SiGe passivation involving SiNx, SiOxNy and BN
  • Metal nitride deposition (WNx)
  • Low temperature metal oxide reduction for metal ALD (Co, Cu, Pd, Pt)
  • Atomic removal of surface carbon

Enables New Material

Plasma and ammonia-based methods fail to meet next generation process requirements for lower thermal budgets and ability to act deep within high aspect ratio or 3D structures.
  • Plasma cannot uniformly coat the sidewalls of high aspect ratio structures and can cause surface damage
  • Ammonia (NH3) has limited reactivity at low process temperatures, which leads to poor film quality
  • Standard High Purity Hydrazine has measurable water that leads to oxygen incorporation in nitride films increasing film resistance
BRUTE Hydrazine solves these problems. 


Figure 2: Theoretical Vapor Pressure Curve of BRUTE Hydrazine

BRUTE Hydrazine Characteristics

  • Dry (less than 50 ppm H2O in the liquid source), resulting in minimal oxygen contamination
  • Higher reactivity than NH3, enabling lower temperature ALD applications (200-400°C)
  • Better penetration of high aspect ratio structures, creating uniform films

How it Works

BRUTE Hydrazine provides a stable, reliable flow of anhydrous hydrazine gas from a liquid source in a metal sealed vaporizer (Figure 1). The liquid source combines anhydrous hydrazine and a proprietary non-volatile solvent, significantly increasing the flashpoint. This makes the chemistry safer to handle than anhydrous hydrazine. To deliver hydrazine gas to process, vacuum is applied to the BRUTE Hydrazine vaporizer to evaporate liquid BRUTE Hydrazine to pure hydrazine, leaving the non-volatile solvent behind. The Theoretical Vapor Pressure Curve of BRUTE Hydrazine is given in Figure 2.

How to Order

To place an order for 50ml BRUTE Hydrazine contact RASIRC at sales@rasirc.com and order P/N: 100784.

 
RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box - converting common liquid chemistries into safer and more reliable gas flow for most processes. First to generate ultra-high purity (UHP) steam from de-ionized water, RASIRC technology can now also deliver hydrogen peroxide gas and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. 

Tuesday, December 13, 2016

Semiconductor Equipment Sales Forecast ─ $40 Billion

TOKYO — December 13, 2016 — SEMI, the global industry association representing more than 2,000 companies in the electronics manufacturing supply chain, today reported that worldwide sales of new semiconductor manufacturing equipment are projected to increase 8.7 percent to $39.7 billion in 2016, according to the SEMI Year-end Forecast, released today at the annual SEMICON Japan exposition. In 2017, another 9.3 percent growth is expected, resulting in a global semiconductor equipment market totaling $43.4 billion.


The SEMI Year-end Forecast predicts that wafer processing equipment, the largest product segment by dollar value, is anticipated to increase 8.2 percent in 2016 to total $31.2 billion. The assembly and packaging equipment segment is projected to grow by 14.6 percent to $2.9 billion in 2016 while semiconductor test equipment is forecast to increase by 16.0 percent, to a total of $3.9 billion this year.

For 2016, Taiwan and South Korea are projected to remain the largest spending regions, with China joining the top three for the first time. Rest of World (essentially Southeast Asia), will lead in growth with 87.7 percent, followed by China at 36.6 percent and Taiwan at 16.8 percent.

SEMI forecasts that in 2017, equipment sales in Europe will climb the most, 51.7 percent, to a total of $2.8 billion, following a 10.0 percent contraction in 2016. In 2017, Taiwan, Korea and China are forecast to remain the top three markets, with Taiwan maintaining the top spot even with a 9.2 percent decline to total $10.2 billion. Equipment sales to Korea are forecast at $9.7 billion, while equipment sales to China are expected to reach $7.0 billion.

The following results are given in terms of market size in billions of U.S. dollars:

Monday, December 12, 2016

ALD of High-k using molecular oxygen at Stanford Nanofabrication Facility

The Stanford Nanofabrication Facility (SNF) is administarting a fantastic Wiki for their clean room equipment and processeses in nanofabrication. The Wiki is there for the SNF lab community as a resource and also as historical archive as stated in the Wiki description. For all us not part of SNF it is nice that a login is not needed to view public information available.

In the wiki you will find vast process archives for the tools operated and for us ALD people the ALD section is especially interesting to study. As listed SNF is operating 4 ALD Tools all from Ultratech CNT : one Savannah and three Fiji´s.

SNF ALD Wiki  : LINK

SNF list of available films : LINK

Recently SNF published a great review of their metal alkyl amide High-k PEALD processes and the good news is that it is open source easily available for all of us to study.
 

Atomic layer deposition by reaction of molecular oxygen with tetrakisdimethylamido-metal precursor

J Provine, Peter Schindler, Jan Torgersen, Hyo Jin Kim, Hans-Peter Karnthaler and Fritz B. Prinz

J. Vac. Sci. Technol. A 34, 01A138 (2016); http://dx.doi.org/10.1116/1.4937991

Wednesday, December 7, 2016

Ultrathin protective ALD layer brings more stability to perovskite solar cell

TU Eindhoven reports: The addition of a few nanometers of a thin layer of aluminum oxide protects a perovskite solar cell against humidity – still a major stumbling block to the commercial application of this new type of solar cell. A surprising bonus is a yield boost of 3 percent. These are the findings of researchers at Eindhoven University of Technology and research institute ECN, part of the Solliance collective, published today in the journal Energy and Environmental Science.

Solar cells made from perovskite have undergone rapid development in recent years. Perovskite is a mineral that has the same crystal structure as calcium-titanium-oxide (CaTiO3). The yield of this type of solar cell has risen to 22% in just a few years. A drawback for the moment, though, is the damaging effect of humidity: water vapor from the atmosphere reacts with the perovskite crystals causing a considerable reduction in the yield over time. This instability is a stumbling block to successful commercialization.
“Although Al2O3 has electrically insulating properties, it can still be used as a buffer layer between the semi-conductive perovskite and the conductive contacts by limiting the thickness of the layer to one nanometer or less,” says FOM PhD student and first author Dibyashree Koushik (TU/e group Plasma and Materials Processing). 

Full story at TU Eindhoven : LINK

High-Efficiency Humidity-Stable Planar Perovskite Solar Cells Based On Atomic Layer Architecture

D. Koushik, W.J.H. Verhees, Y. Kuang, S. Veenstra, D. Zhang, M.A. Verheijen, M. Creatore, and R.E.I. Schropp, Energy and Environmental Science (5 december 2016). The study was co-funded by the FOM Foundation