Showing posts with label Conferences / Workshops. Show all posts
Showing posts with label Conferences / Workshops. Show all posts

Wednesday, April 19, 2023

Call for Papers on ALD & ALE Applications, at ECS Fall Meeting / Gothenburg Oct. 2023 ►►DEADLINE EXPIRES APRIL 21◄◄

Call for Papers on ALD & ALE Applications, at ECS Fall Meeting / Gothenburg Oct. 2023 ►►DEADLINE EXPIRES APRIL 21◄◄

The Electrochemical Society (ECS) conference is an international event running every spring and fall, and gathering 2000-4000 participants and 30-40 exhibitors both from academia and industry.

The conference has a strong focus on emerging technology and applications in both electrochemistry and solid-state science & technology.





This fall the event will be held as 244th ECS Meeting on Oct. 8-12, 2023 in Gothenburg (Sweden).

The full program as well as information on travel assistance for students can be found on https://www.electrochem.org/244.

 

The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 19” encourage you to submit your abstracts on the following (and closely related) topics:

 

1.   Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;

2.   Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;

3.   Interconnects and contacts: integration of ALD films with Cu and low-k materials;

4.   Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;

5.   New precursors and delivery systems;

6.   Optical and photonic applications;

7.   Coating of nanoporous materials by ALD;

8.   MLD and hybrid ALD/MLD;

9.   ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;

10. ALD for energy storage applications;

11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;

12. Area-selective ALD;

13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.

 

Abstract submission

Meeting abstracts should be submitted not later than the deadline of April 21, 2023 via the ECS website: Abstract submission instruction

 

List of invited speakers

·   Johan Swerts, (Imec, Belgium) KEYNOTEALD challenges and opportunities in the light of future trends in electronics

·   Stephan Wege (Plasway Technology, Germany), Reactor design for combined ALD & ALE

·   Masanobu Honda (TEL, Japan), Novel surface reactions in low-temperature plasma etching

·   Barbara Hughes, (Forge Nano, USA), Dual Coatings, Triple the Benefit; Atomic Armor for Better Battery Performance

·   Juhani Taskinen, (Applied Materials-Picosun, Finland), ALD for biomedicine

·   Alex Kozen (Univ. of Maryland, USA), ALD for improved Lithium Ion Batteries

·   Malachi Noked (Bar-Ilan Univ., Israel), ALD/MLD for batteries

·   Yong Qin (Chinese Academy of Sciences), ALD for catalysis

·   Jan Macák, (Univ. of Pardubice, Czechia), ALD on nanotubular materials and applications

·   Bora Karasulu, Univ. of Warwick, UK), Atomistic Insights into Continuous and Area-Selective ALD Processes: First-principles Simulations of the Underpinning Surface Chemistry

·   Ageeth Bol (Univ. Michigan, USA), ALD on 2D materials

·   Pieter-Jan Wyndaele (KU Leuven-imec, Belgium), Enabling high-quality dielectric passivation on Monolayer WS2 using a sacrificial Graphene Oxide template

·   Elton Graugnard (Boise State Univ., USA), Atomic Layer Processing of MoS2

·   Han-Bo-Ram Lee (Incheon National Univ., Korea), Area-Selective Deposition using Homometallic Precursor Inhibitors

·   Ralf Tonner (Univ. Leipzig, Germany), Ab initio approaches to area-selective deposition

·   Nick Chittock (TU Eindhoven, Netherlands), Utilizing plasmas for isotropic Atomic Layer Etching

·   Heeyeop Chae (Sungkyunkwan Univ., Korea), Plasma-enhanced Atomic Layer Etching for Metals and Dielectric Materials

·   Charles Winter (Wayne State Univ., USA), New Precursors and Processes for the Thermal ALD of Metal Thin Films

·   Anjana Devi, Ruhr Univ. Bochum, Germany), Novel precursors dedicated for Atomic Layer Processing

 

Visa and travel

For more information, see: www.electrochem.org/244/visa-travel/

In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@electrochem.org) can provide you with an official participation letter from the site of the Electrochemical Society.

 

We are looking forward to meeting you in Gothenburg !

Friday, September 9, 2022

SEMICON Europa 15 to 18 November, Munich Germany - Chips Powering the Data Age

SEMICON Europa, co-located with electronica, returns to Messe München 15-18 November, Munich, Germany with an exhibition, conferences, forums, technical sessions and business networking to help you expand your business.

Themed Chips Powering the Data Age, SEMICON Europa 2022 will convene technology experts and visionaries for insights into the latest technology, materials, and process innovations powering digital transformation and the next wave of semiconductor industry growth.

As usual there will be a Materials Innovation session. This session will explore materials innovation developments and challenges related to atomic-scale processing and control of interfaces, morphology, composition, and 3D conformality for these applications.

This time with an extra touch by me, Jonas Sundqvist, and Lita Shon-Roy, President of TECHCET LLC CA and the team from San Diego and the Critical Materials Council comes to Europe - surfs up stay tuned for more information!

Europe Chemical Supply Chain – Impact of Fab Expansions

Risk of Supply Interruption Grows With an Increase in Imports

San Diego, CA, May 17, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— examined critical materials dependencies of Europe’s expanding chip-making industry. Six high purity chemicals were identified as having the highest risk of supply interruption by major Europe chip fabricators: liquid and gas hydrochloric acid (HCl), sulfuric acid (H2SO4), hydrofluoric acid (HF), ammonia hydroxide (NH4OH), and isopropyl alcohol (IPA). Demand for these materials is expected to grow 40+% over the next 5 years, as shown in TECHCET’s study titled “The Impact Of Chip Expansions On The Europe Wet Chemical Supply Chain Report.” By 2027, more than 50% of total demand will have to be supplied by imports should there be no additional expansion in local chemical production.







Thursday, September 1, 2022

UPDATE - ASD 2023 will be held in Incheon National University South Korea April 2-5, 2023

ASD2023 will be held in Incheon National University from April 2nd to 5th, 2023. An official website will be online soon! Stay tuned!

Included is a session on ALD for Semiconductor applications.

UPDATE - website is online: http://asd2023.com



PROGRAM COMMITTEE:
  • Rudy J Wojtecki (IBM)
  • Stacey F Bent (Stanford University)
  • Annelies Delabie (imec)
  • John G Ekerdt (University of Texase)
  • Dennis Hausmann (Lam Research)
  • Erwin Kessels (Eindhoven University of Technology)
  • Adrie Mackus (Eindhoven University of Technology)
  • Ravi Kanjolia (EMD Electronics)
  • Gregory Parsons (North Carolina State University)
  • Robert Clark (TEL)
  • Sean Barry (Carleton University)
  • Han-Bo-Ram Lee (Incheon National University)
  • Marko Tuominen (ASM)
  • Sudipto Naskar (Intel)
  • Anuja DaSilva (Lam Research)
  • Kristen Colwell (Intel)

Monday, July 11, 2022

AlixLabs at AVS ALD ALE 2022 in Ghent, Belgium

AlixLabs AB participated and presented at The AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022) featuring the 9th International Atomic Layer Etching Workshop (ALE 2022) in Ghent, Belgium June 27 to 29.

Yoana gave her oral presentation in session LE1-TuA-4 In-situ Optical Emission Spectroscopy as a Tool to Characterize Cyclic Quasi-Atomic Layer Etching, Yoana Ilarionova, Lund University, Sweden; M. Karimi, AlixLabs, Sweden; D. Lishan, D. Geerpuram, Plasma-Therm LLC, USA; R. Jafari Jam, D. Suyatin, J. Sundqvist, AlixLabs, Sweden; I. Maximov, Lund University, Sweden

Jonas gave an invited talk for TECHCET LLC CA in: AA2-TuM2-1 High ALD Equipment and Precursor Demand and 5-Year Forecast Due to Continued Semiconductor Device Scaling and Fab Expansions.

Dmitry as part of the AVS ALE Scientific Committee moderated the session ALE1-TuA In situ Studies, Mechanisms, and Modeling of ALE. We had a fantastic time and met a lot of old friends and made new ones!


Team photo: Reza Jafari Jam, Jonas Sundqvist, Yoana Ilarionova and Dmitry Suyatin.


Reza and Yoana taking on the ALD / ALE industrial exhibition.


Ghent by night, view from the Beneq party in the main castle - Gravensteen.


Dinner in a Castle.

Boat trip in beautiful Ghent


New slim line of MFCs and Valves from Fujikin.


Dmitry and Jonas met up with AlixLabs' long-time supporters Prof. Fred Roozeboom and Dr. Jacques Kools.


Yoana getting questions from Prof. Steven .M. Geroge himself.


Yoanas title slide.


ALE - the icing on the cake


Angélique Raley from Tokyo Electron USA giving the best ALE talk!


AlixLabs sponsored the Social Media Chair 2022 - please check Twitter by the Social Media Chairs @Mick__geek and @hacp81
 for more famous ALD and ALE people 


AVS ALD ALE 2022 Page: ald2022.avs.org/

Thursday, April 7, 2022

Spatial ALD Day coming up at TU Eindhoven June 9

Thursday, March 31, 2022

Launch of the ALD & ALE ReviewBase – An easy-to-access overview of all ALD and ALE review papers

The scientific and technological interest in atomic layer deposition (ALD) and atomic layer etching (ALE) has been surging in the last decade and the sheer volume of ALD and ALE papers can make it difficult to get a clear overview. Now AtomicLimits and Prof. Kessels launched the ALD & ALE ReviewBas in Dresden at the annual EFDS ALD for Industry conference.


Link to the new site: ALD & ALE reviews – Atomic Limits




Tutorial and launch of the ReviewBase - ALD: materials, process technologies and applications Prof. Erwin Kessels, TU Eindhoven, NL.


Sunday, March 27, 2022

Call for Papers for the 242nd ECS Meeting, to be held from Oct. 9-13, 2022, symposium G02: Atomic Layer Deposition and Etching Applications

Dear colleagues in Atomic Layer Deposition and Etching,

We hereby send you the Call for Papers for the 242nd ECS Meeting, to be held from Oct. 9-13, 2022, in Atlanta (USA). Especially interesting for you will be symposium G02: Atomic Layer Deposition and Etching Applications 18 that we* are organizing on an annual basis.

*organizers: Fred Roozeboom, Stefan De Gendt ; Jolien Dendooven ; Jeff Elam ; Oscar van der Straten ;Andrea Illiberi ; Ganesh Sundaram ; Rong Chen ; Thorsten Lill ; Oana Leonte ; Matthias Young



Below, you can find the Call for Papers and instructions to submit your abstract.

The due date for submission is Friday April 8, 2022.

Students can apply for (partial) travel support.

More details can be found right below this message.

Kind regards, also on behalf of my co-organizers.
Fred

Prof. dr. Fred Roozeboom
Group Inorganic Membranes
Faculty of Science & Technology
University of Twente
PO Box 217
7500 AE Enschede
The Netherlands
Mobile: +31 6 51375283
f.roozeboom@utwente.nl

Wednesday, March 16, 2022

AVS ASD 2022 April 21-22 - Technical program

 

Technical Program
Reserve Hotel by March 25
We have arranged a special conference rate at the Westin St. Francis. Keep in mind that reserving a room in the conference hotel block is important because it helps ASD meet its financial commitments to the host city and retain lower registration fees as well as a high quality conference with the features and services you are accustomed too.

Due to limited space we recommend booking as early as possible to receive this special rate—please note that once the reserved/contracted room block is full, the room availability and rate are not guaranteed and may vary.

If your dates are not available, please contact Alberto Lamberti at 1-415-774-0122, alberto.lamberti@westin.com for help.
In an effort to help facilitate the progression of ASD techniques, the 6th Area Selective Deposition Workshop (ASD 2022) scheduled for April 21-22, 2022, in San Francisco will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges. Based on the success of the previous workshops, ASD 2022 will consist of two days of presentations by invited and contributing speakers, as well as a banquet reception and poster session.
Invited Speakers:

  • Keynote Speaker - Stacey Bent (Stanford University, USA), "Next Generation Nanopatterning Using Area Selective Deposition”
  • Cathleen Crudden (Queen's University, Canada), "N-heterocyclic Carbenes in Selective Area Deposition"
  • Jolien Dendooven (Ghent University, The Netherlands), "In-situ Studies of Nucleation Mechanisms during ALD of Platinum-Group Metals"
  • Damon Farmer (IBM Research, USA), "Inhibitor-Free Nucleation Inhibition of Superconductors"
  • Padma Gopalan (University of Wisconsin, USA), "Carbon Nanotube Selective Deposition"
  • Andrew Kummel (University of California San Diego), "Selective Atomic Layer Deposition of MoSiX"
  • Mikko Ritala (University of Helsinki, Finland), "Area-Selective Etching of Polymers, a Novel Approach to Self-Aligned Patterning of Thin Films"
  • Frances Ross (Massachusetts Institute of Technology, USA), "In Situ Electron Microscopy to Visualize Crystal Growth Mechanisms on Plain and Patterned Surfaces"
  • Bonggeun Shong (Hongik University, South Korea), "Theoretical Understanding on the Principles of Area-Selective Atomic Layer Deposition"
Topics:
This event is centered on showcasing developments across the whole spectrum of area-selective deposition. Thus, the Workshop will cover a wide range of topics including the following:

  • Near Term Technology Readiness (Scalable and Relevant ASD Processes for Use in Manufacturing)
  • Fundamentals of ASD (Defects, Growth Mechanisms and Inhibitor Chemistries)
  • Metrology Techniques for ASD
  • Emerging/Exploratory ASD Processes and Applications (e.g., Catalysis, Energy Generation and Storage)
Program Chair:
Rudy J. Wojtecki
IBM Almaden Research Center, USA
Questions? Contact rjwojtec@us.ibm.com