Friday, January 31, 2014

Good news on Ultratech Cambridge Nanotech

Art Zafiropoulo , the Chairman and Chief Executive Officer of Ultratech reported on Cambridge Nanotech integration into Ultratech in a Q4 2013 Results - Earnings Call transcripetd by Seeking Alpha.


 "We also integrated our asset acquisition of Cambridge Nanotechnology. We manufacture the most flexible and successful atomic layer deposition systems in the world, for use in research and specific production applications. A total of 370 systems have been shipped since its inception a few years ago. The most popular models is Savannah and Fiji were totally updated and redesigned making these more flexible and serving the global research institutes and university markets."
On the forecast of ALD systems sales in 2014 he said "This year we’ll be probably in the range of 50 systems…” and he went on "… we hope to diversify more than we are today and hopefully outside the semiconductor industry and my objective is to move into the medical field and the MEMS area and sensors if we can.”
 
The complete transcript of the call is available here: Seeking Alpha

For all ALD maniacs out there I can realy recomend to spend some time on Cambridge Nanotech´s web - it is realy informative : Cambridge Nanotech. Especially interesting is the Knowledge center with customer papers, tutorials an more. Earlier they used to have a very good Abstract database but I have not been able to locate that one since Ultratech bought them.

Here you can read more about their well known ALD systems:

Lab ALD - The Savannah http://www.cambridgenanotechald.com/thin-film-deposition.html
PEALD - The Fiji http://www.cambridgenanotechald.com/advanced-thin-films.html
Batch ALD - The Phoenix http://www.cambridgenanotechald.com/batch-ald-system.html

SMEs like Beneq – could be the key to Finnish economic success

YLE reports on the display technology form Finnish ALD Company BENEQ:The Finnish economy is in dire need of new export business. In the past, Finnish exports have mainly been driven by major corporations. However, big company job opportunities are now few and far between. The future seems to lie with smaller export business, such as Beneq, which manufactures see-through displays.



A Beneq see-through display in the hands of the company CEO Sampo Ahonen. [Image from Yle.fi]

Read the full story on Yle.fi

The 2nd International Conference on ALD Applications & 3rd China ALD Conference Oct 16, 2014

The 2nd International Conference on ALD Applications & 3rd China ALD conference will be will be held in Shanghai, China, from October 16 to 17, 2014. It will be a two-day meeting, dedicated to the fundamental, materials, and applications of Atomic Layer Deposition (ALD) technology. It Following the success of the 1st and 2nd China ALD Scientific Meeting in 2010 and 2012, the 3rd China ALD 2014 conference will feature plenary sessions, poster sessions and an industrial exhibition. Papers submitted by students will be eligible for the“Best Student Paper Awards”

This conference will cover all aspects of ALD:
* ALD Precursors and Precursor Design
* Simulation, Modeling, and Theory of ALD
* ALD Surface Chemistry
* Surface Preparation for ALD
* Initiation of ALD Growth
* Patterned and Selective Area ALD
* In-situ Monitoring and Analysis
* Radical, Plasma and Other Energy-Enhanced ALD Methods
* Equipment and Manufacturing
* Applications of ALD for Microelectronics and Nanotechnology
* ALD for MEMS
* ALD for Catalytic, Photovoltaic, Optical, Magnetic Materials
* Characterization of ALD Coatings

IMPORTANT DATES
September 15, 2014—Abstract Submission Ends
September 20, 2014—Preregistration Opens
Please submit your abstract for Oral or Poster presentation to the
Email address: honglianglu@fudan.edu.cn and fzhang@semi.ac.cn

PROCEEDING AND FULL PAPER:
Full contributed papers will be peer reviewed and published
in a special issue Nanoscale Research Letters (2013 impact factor: 2.52).

The SEMATECH ALD equipment forum will run from February 17th-20th in San Diego

The SEMATECH ALD equipment forum will run from February 17th-20th in San Diego and will focus on the following topics:

- Equipment Cost of Ownership
- Defect Reduction
- Precursor Qualification
- Maintenance Best Practice
- Process Control / FDC






 This forum is being supported by HKE, TEL and others; with high volume manufacturing Fab engineers participating from: Intel, IBM, Samsung, UMC, Winbond, Macronix, GlobalFoundries, TSMC, Renesas, Freescale and HP. We are focusing on both oxides and metal nitrides.

Thursday, January 30, 2014

LAM Research sees a transition to single wafer atomic layer deposition (ALD) tools for multi-patterning as the film conformality and uniformity requirements increase

As reported by Seeking Alpha - Lam Research Management Discusses Q2 2014 Results - Earnings Call Transcript :

“In DRAM, the number of multi-patterning steps more than doubles with the transition to 20-nanometer going from 3 or 4 in a mid-2x device to between 8 and 10 at 20-nanometer, with the same evidence of upsize on number of passes. You should expect more specificity from Lam on this in the coming quarters. This transition also presents growth opportunities for our deposition business. Many of the spacer-based, multi-patterning deposition steps can be done with batch variances today. However, customers are evaluating or starting to transition these steps to single wafer atomic layer deposition, or ALD tools, as the film conformality and uniformity requirements increased.”

Access the full transcript thru Seeking Alpha
http://seekingalpha.com/article/1978731-lam-research-management-discusses-q2-2014-results-earnings-call-transcript?part=single

JUSUNG ENGINEERING to introduce 'Space Divided Plasma System'

AVING NET reports that JUSUNG ENGINEERING(www.jseng.com) to introduce its semiconductor product including 'Space Divided Plasma System'(SDP), which features largely three functions which are 'ALD', 'CVD' and 'Treatment' for Nitridation, Oxidation and Doping. The system is capable to go through the process of ordinary PECVD, LPCVD and Defusion Furnishing, which can solve wafer damage problem by plasma.

 
Image from AVING NET - Jusung SDP - Space Divided Plasma System
▶ February 12(Wen) - 14(Fri), 2014
▶ Venue: Hall A, B, C, D 1F&3F, COEX, Seoul, Korea
▶ Program: Exhibition, SEMI Technology Symposium
▶ Program: Press Conference, imec Technology Forum, Keynote Speech, Executive Forum, SEMI Technology Symposium(STS), LED Korea Conference 2014.
▶ Organized by: SEMI (Semiconductor Equipment and Material International)

Wednesday, January 29, 2014

The world’s first 3D printer that can print composite materials such as carbon fibre

The MarkForged (http://markforged.com/) Mark One 3D printer is the world’s first 3D printer designed to print composite materials such as carbon fibre. You can asume that Koenigsegg has placed multiple orders already.


According to MarkForged, the Mark One 3D prints a range of other materials also such as PLA, nylon and fiberglass.

Full set of specifications can be found here : http://markforged.com/the-specifications/

Check out this promotion video:

Thursday, January 23, 2014

FinFET evolution for the 7nm and 5nm CMOS technology nodes

FinFET evolution for the 7nm and 5nm CMOS technology nodes
From AARON THEAN, Director of the Logic Device Program at imec, Leuven, Belgium.http://electroiq.com/blog/2014/01/finfet-evolution-for-the-7nm-and-5nm-cmos-technology-nodes/

"The learning curve to master these materials (for FinFETs) is steep. For example, introducing Ge into a fin is not a trivial process when it agglomerates easily with higher process temperatures. On the device side, leakages due to narrow band gap, gate-stack passivation, and defectivitiy are on-going hurdles. Moreover, any technique employed to integrate Ge in the pFET must be CMOS compatible, which means that it must allow a co-integration with materials for nFETS, like Si, III-V materials. For all these challenging options, it is our goal to identify, for our technology partners, the promising options, innovate on the solutions, and work-out the design/system impact"



Cross-sectional TEM images Left: SiGe on Si Fins, Middle: strained Ge/SiGe on Si, Right: InGaAs/InP on Si Fins.

A new plasma-enhanced atomic layer etch method delivers atomic-level etch precision

A new plasma-enhanced atomic layer etch method developed by LAM delivers atomic-level etch precision with process times that are practical for use in a manufacturing environment.Moving atomic layer etch from lab to fab

http://electroiq.com/blog/2014/01/moving-atomic-layer-etch-from-lab-to-fab/

"At the sub-14nm technology node, transistor performance will be highly sensitive to process variations, which can significantly impact current leakage and battery power loss. To give some perspective on the reality of the challenges, within the next 10 years, transistor gate dimensions are expected to be less than 50 atoms wide, and feature size variations will be measured in atoms, including contributions from surface roughness. Atomic layer processes are the most promising path for delivering the precision needed at this scale. Atomic layer deposition (ALD) has been in production for over a decade in the semiconductor manufacturing industry. However, it has been difficult making the etch counterpart — atomic layer etch (ALE) — productive enough for cost-effective manufacturing, and a commercially viable system has not been available. Here, we report on a plasma-enhanced ALE method using a commercial plasma reactor that provides atomic-level precision with process times that are suitable for high-volume device manufacturing."
 

SEM images of polysilicon trenches etched under comparable process conditions.

Wednesday, January 22, 2014

Picosun Develops ALD for Graphene-Based Displays and Electronics

According to a press realise today from Picosun (Kyrkslätt, Finland) Picosun is taking part in a European research project to develop ALD for graphene-based displays and electronics. The development work for ALD-processing of graphene is a part of the EU 7th Framework Program project QUANTIHEAT ("QUANTItative scanning probe microscopy techniques for HEAT transfer management in nanomaterials and nanodevices"). New ALD equipment and process development is an integral part of the project.


Read more here

QUANTIHEAT is coordinated by CNRS (France) and project partners are NPL, Kelvin NanoTech, Univ. Lancaster and Univ. Glasgow (UK), IBM and EPFL (Switzerland), Thales TRT, LNE, ESPCI, FEMTO-ST and Univ. Reims (France), VTT and Picosun (Finland), CMI (Czech Republic), ICN (Spain), > MRT (Germany), Conpart (Norway), NT-MDT (Netherlands)

Tuesday, January 21, 2014

Iphone 5s - The Apple A7 processor fabed by Samsung using 28nm low-power, gate-first, HKMG process technology

[The following comes from a Blog by EETimes and other sources as indicated, the original Chipworks report]

The Apple A7 processor used inside the iPhone 5s has earlier been analyzed by Chipworks (Inside the iPhone 5s] and now the interesting part has become available - The Front End cross sections of the NMOS and PMOS.

 
Apple's A7, a 64-bit system-on-chip ARM. AnandTech has published a review here. (Source: Chipworks)
 
From the blog:
"The A7 is Apple’s first 28nm device. The process technology is broadly similar to that used at 32nm, with an ~10% shrink of the contacted gate pitch to 120nm. The PMOS and NMOS transistors are easily distinguished due to marked differences in the transistor structure.
 
The NMOS transistors feature an NMOS work function metal gate (MG) deposited onto the high-k (HK) gate dielectric, which is composed of hafnium oxide deposited over a thin layer of silicon dioxide. The process is described as gate-first since the silicided polysilicon gate is deposited after the HKMG gate stack has been formed.
 
The main distinguishing features of the PMOS transistors are the presence of a SiGe channel beneath the PMOS gates and a separate PMOS work function metal deposited over the HK dielectric stack.
The NMOS MG layer is present over the PMOS MG layer, indicating that the PMOS transistors were formed first in the process flow. This NMOS MG layer would have no effect on the electrical characteristics of the PMOS transistor, although it may serve as a barrier to protect the PMOS MG layer during the polysilicon deposition process step. There are minor differences in the shape of the sidewall spacer structure (SWS) for the PMOS as compared to the NMOS transistors, while both transistor types are sealed with the same contact etch stop layer (CESL)."
 
 

PMOS (left) and NMOS (right) from Apple's A7 (Source: Chipworks)

Samsung information on 32/28nm Low-Power High-K Metal Gate Logic can be find here. Accoring to Sasmung, the Samsung Austin Semiconductor (SAS) is one of the most advanced semiconductor manufacturing facilities in the United States., SAS is the only semiconductor manufacturing plant located outside of Korea. SAS manufactures logic components for digital services, personal computers, mobile phones, workstations and servers and has been operationg since 1997.

Here is an interesting video from the Austin Chamber of commerce explaining the The Austin Tech Economy around Samsung and the University of Texas.



Samsung Electronics' S2 Austin, Tex. fab: The 28 nm fab is located near facilities for Texas Instruments and Apple. [Image Source: Let's Go Digital] According to Wikipedia this fab produces 40.000 Wafers per Month, but that was some time ago (2011)
Check out the Fab on Google Maps!

As far as I know Samsung is producing their Apple 28 nm chips in the Austin Texas Fab. There are a lot of rumours out there and it will for sure be interesting to see if Apple will also keep on producing chips on US soil while technology is scaling down. Next big step for Apple is FinFET technology and then for sure TSMC is strong - but what about Intel? I assume all big players are doing their best to get thos orders in the future. To me it seem like a completely open race.

Back to reality - We can assume that the high-k gate oxide, HfO2 in this case as reported by Chipworks has been deposited by ALD or CVD. Since it is gate first technology it is possible to allow a higher thermal budget for depositing the gate oxide so you can get away with CVD. The reason is that the sourc/drain contacts are formed at a later stage in the high-k first process flow. If high-k last is used, then there is a restricion in thermal budget to keep the NiSi contacts alive and the ALD is used. Intel and many foundries uses the ASM Pulsar 3000 chambers for gate oxide. For all you ALD geeks out there, there is a very good page for Pulsar 3000 Lovers.

Check it out - The Switch is on!

Above, The Polygon 8300 platform configured with 2 Pulsar 3000 ALD process modules. A commonly used platform in the industry to produce high performance high-k for high performance transistors (http://www.asmtheswitchison.com/)

By using the same ALD chamber and by tweeking the HfO2 gate oxide ALD recipe a bit, making it thicker and adding a bit of dopant the high-k can be made ferroelectric and be integreated into a 28 nm device in a similar way as desribed above as a FeFET. Read more about that exiting technology here : http://www.cnt.fraunhofer.de/de/Kompetenzen/Front_End_of_Line/ferroelectric-hafnium-oxide.html

Exiting? If you want to come and have a look at one of these fantasic ALD chambers in action - poof-poof-poof-pooof - do not hesitate to schedule a visit at Fraunhofer IPMS-CNT next time you´re in Dresden! Why not conme and visit us at the Industry Day 6th of February?

New eyes for robots – Fraunhofer IPMS presents camera system at Photonics West 2014

New eyes for robots – Fraunhofer IPMS presents camera system at Photonics West 2014
Dresden, Jan 20, 2014
 
For three-and-a-half years, five research institutions and two industrial companies have been working with in the scope of the European joint research project »TACO« on the development of a new kind of 3D-camera system that should allow robots to perform more demanding tasks. The Fraunhofer Institute for Photonic Microsystems IPMS in Dresden hereby contributed a novel MEMS scan technology as a key hardware component. This allows »relevant« objects in the surroundings to be detected with a higher resolution, similar to human vision , without having to increase the volume of data. At the Photonics West in San Francisco from the fourth to the sixth of February 2014, the Fraunhofer IPMS will be presenting the complete camera system for the first time as a fully-functional prototype to a broad professional public.
 

 
Optical scan head of a 3D TOF camera with integrated MEMS scanning mirror array.

More information on the TACO Project you can find in the IPMS Press Release.

Monday, January 20, 2014

Google Introducing a smart contact lens project





As reported on the Google Blog - Google is testing a smart contact lens that’s built to measure glucose levels in tears using a tiny wireless chip and miniaturized glucose sensor that are embedded between two layers of soft contact lens material. They are testing prototypes that can generate a reading once per second and also investigating the potential for this to serve as an early warning for the wearer. In addion, they are exploring integrating tiny LED lights that could light up to indicate that glucose levels have crossed above or below certain thresholds. Google has completed multiple clinical research studies to refine the prototype. Google hope this could someday lead to a new way for people with diabetes to manage their disease.

 
Also reporting on this story, Tech Crunch and re/code and has more information

 
Image from re/code, showing how Google smart contact prototypes can squeeze in a glucose sensor, antenna, capacitor and chip between two contact lens layers. A tiny hole on the eye side allows tear film, which contains glucose, to reach the sensor.

That this is a hot topic also outside Google application Labs you can see if you do some patent research. Here is patent rougly describing such a technology that Google is presenting:

Wireless powered contact lens with biosensor, US8608310 B2

"A contact lens having an integrated glucose sensor is provided. The contact lensincludes an electrochemical sensor configured to measure the level of glucose in the tear fluid of the eye of the user wearing the contact lens. The electrochemical sensor is powered by radiation off-lens, through an RF antenna or a photovoltaic device mounted on the periphery of the contact lens. The power provided to the contact lens also enables transmission of data from the electrochemical sensor, for example by backscatter communications or optically by an LED mounted to the lens."

This patent further claims : A powered contact lens formed from a transparent substrate shaped to be worn directly over a user's eye, the contact lens comprising:
 
(a) an annular antenna disposed at a margin of the contact lens, wherein the antenna is configured to receive a power signal;
(b) a light-emitting diode (LED) configured to transmit a data signal;
(c) a biosensor module configured to measure a characteristic of the user's eye, the biosensor module comprising an electromechanical sensor comprising:
(i) a working electrode;
(ii) a counter electrode;
(iii) a reference electrode; and
(iv) a biosensor circuit configured to measure the voltage of the working electrode, the counter electrode, and the reference electrode, and to transmit a biosensor signal

With the ETH Zürich latest report on flexible electronics that we reported on employing ALD in mind we can speculate on a bright future for ALD in these type of applications [LINK]

Sunday, January 19, 2014

Prof. Leskelä was awarded with a certificate in Cologne by MRS Cologne

Prof. Dr. Markku Leskelä gave the first talk in the Materials Science Lecture Series titled "Industrial Applications of Atomic Layer Deposition (ALD)" http://mrs.uni-koeln.de/fachgruppe.html?&L=0

 
As reported on Twitter by @MRS_Cologne - Prof. Leskelä gets awarded the first ever MRS Cologne certificate.

Oxford Instruments is offering an upgrade option for its ALD equipment to apply a bias voltage to the substrate

Image ThumbnailImage Thumbnail

After some recently published work* by Kessels group (Eindhoven University of Technology, The Netherlands) on using substrate biasing in PEALD Oxford Instruments is now offering an upgrade option for its ALD equipment to apply a bias voltage to the substrate, adding further control of the energy at the wafer surface in order to tune the properties of the deposited film.

Oxford Instruments’ technologists expect that control of the ion energy will enable the design of films with other optimized characteristics, or with simpler process requirements. “This is very new – a new dial to very easily tune the physical properties of specific materials,” notes Robert Gunn, Plasma Technology Business Group Manager. “We now need to explore the physics behind the material interactions to see what applications this would be relevant to.” What will be the driving market? “It might be easier to ask what market it won’t fit into,” suggests Frazer Anderson, Nanotechnology Tools Business Group Director

Continue reading: http://www.i-micronews.com/lectureArticle.asp?id=11335

* H. B. Profijt, ∗ M. C. M. van de Sanden, and W. M. M. Kessels; Substrate Biasing during Plasma-Assisted ALD for Crystalline Phase-Control of TiO2 Thin Films; Electrochemical and Solid-State Letters, 15 (2) G1-G3 (2012)Abstract
Substrate biasing has been implemented in a remote plasma atomic layer deposition (ALD) reactor, enabling control of the ion energy up to 260 eV. For TiO2 films deposited from Ti(CpMe)(NMe2)3 and O2 plasma it is demonstrated that the crystalline phase can be tailored by tuning the ion energy. Rutile TiO2was obtained at 200 and 300°C, typically yielding amorphous and anatase films without biasing. Aspects such as film mass density, [O]/[Ti] ratio and growth per cycle under biased conditions are addressed. The results demonstrate that substrate biasing is a viable method for ALD to tailor ultra-thin film properties.
 

Beneq wins Tekes’ Commercialization Breakthrough award

Beneq reported on Wednesday, December 11, that Beneq received a special commercialization breakthrough award from Tekes, the Finnish funding agency for technology and innovation, as part of its six-year-long Functional Materials program. The goal of the program was to promote the development of application-focused materials and processes, as well as to raise foreign investor awareness of Finland’s exceptionally high level of material expertise.
 


Beneq was selected for the Commercialization Breakthrough award thanks to the company’s outstanding work on two particular commercialized projects: transparent conductive oxide (TCO) for aerosol applications and Roll-to-Roll atomic layer deposition (ALD).
 
During 2013 alone, Beneq sold three Roll-to-Roll ALD systems. The world’s first scaled-up Roll-to-Roll ALD system was delivered to the Advanced Surface Technology Research Laboratory (ASTRaL), a research unit based in Mikkeli, Finland, that belongs to the Lappeenranta University of Technology. This laboratory has been a global forerunner in developing new applications that take advantage of ALD coatings. The second delivery went to the UK’s Centre for Process Innovation (CPI). The third delivery will go to an undisclosed partner, whose unit is currently in build phase.
 
“Our Functional Materials program, which focused particularly on coatings and coating technology, achieved excellent results, despite the economically challenging times,” says Markku Lämsä, Program Manager from Tekes. “From the projects completed, we have more than 100 patents, 200 scientific publications and 90 theses. Moreover, companies that participated in the program estimate that they have already received over EUR 60 million in new funding from foreign investors.”
 
The final seminar of the Functional Materials program, which Tekes carried out from 2007 to 2013, brought together over 300 experts from many different fields. Beneq received its award at the end of the day.
 
“When we began, we only had a strong belief in our special coating know-how. Yet, we have continued to be driven mostly by our passion to reach a commercialized breakthrough – and bring our coating technologies to real-life applications” says Sampo Ahonen, CEO, Beneq. “We took well-calculated risks to bring something new to the market. But these kinds of decisions always need a strong vision and perseverance. This award validates the tough decisions we made many years ago.”
“I wish to extend my heartfelt thanks to all our Beneq employees, the team at Tekes and all our suppliers and partners. Without them, we would not be here today. A company always needs to rely on talented team members who are ready to give their best to accomplish a challenging goal,” he continues.
 
[as reported by Beneq http://www.beneq.com/news.html#n1]

Monday, January 13, 2014

ALD Pulse Interview: Dr. Jonas Sundqvist at Fraunhofer


Publication plan for the virtual project on the history of ALD 2.0


 
[as published on ALDPulse.com] Publication plan for the virtual project on the history of ALD, v2.0
 
January 13, 2014
 
Riikka Puurunen (Dr.), Senior Scientist, VTT Technical Research Centre of Finland
Jonas Sundqvist (Dr.), Group Leader High-k Devices, Fraunhofer IPMS-CNT, Germany
Annina Titoff, editor-in-chief, www.aldpulse.com
 
Background:
This publication plan is related to the “virtual project on the history of ALD”, introduction of which and invitation to participate can be found here and introductory slides from here
 
The goals of the publication plans are a) To document early publications about ALD and spread information about them, and b) to promote interaction amongst the ALD Community.
 
1) Poster at Baltic ALD 2014
The plan is to publish the result of the “virtual project on the history of ALD” (info: http://aldpulse.com/node/189) first as a poster at the Baltic ALD conference, Helsinki, May 12-13, 2014.
 
The poster will report the literature list and personal comments on the individual publications similarly as collected in the “Google file”, link here. Up to year 1986 will be covered. [The time limit comes from the review of Goodman and Pessa, J. Appl. Phys. 60, R65 (1986), after which ALD literature cannot be considered “early”.]
 
The poster will have OPEN AUTHORSHIP, meaning that anyone who is interested to contribute in the building of an overview of the early history of ALD, may join the poster.
 
Each author should provide minimum one comment.
 
The author list will be alphabetical (basis: last name).
 
There will be no upper limit for the number of authors: the more authors there are, the better. (Count as of Jan 13, 2014: 23 authors from 9 countries.)
 
The conference deadline for the poster abstract is January 31. In practice, the abstract will be finalized and submitted a couple of days before that (latest Jan 28).
 
We will start to finalize the actual poster in the beginning of April. Interested people can still join as authors for the poster at least until March 2014.
 
2) Listing the early works at the aldpulse.com site
After BALD 2014, the reference list created will be published at the aldpulse.com website.
 
The list will include the authors, titles translated to English, original titles in the original language (Russian, Finnish, German, ...), and the publication info.
 
A note will be made somewhere near to the reference list, acknowledging that the list was created in an open and collaborative way in the effort called the “virtual project on the history of ALD”.
 
The comments made in the Google file will NOT in general be included.
 
If it appears that it would be useful to include some comments, the permission to do so will be individually sought by the one who made the comment, and the comment will only be included if a clear written acceptance (email or similar) is given.
 
3) Poster at ALD 2014, Kyoto
The result of the “virtual project on the history of ALD” will be published as a poster also at the AVS-ALD 2014 conference, Kyoto, June 15-18, 2014.
 
The concept for the poster at ALD 2014 in Kyoto will be similar as for the BALD 2014 poster, both concerning the contents and the OPEN AUTHORSHIP.
 
The conference deadline for the abstract is February 14. The plan is to submit the abstract on February 10, and interested authors can join until this date.
 
We will start to finalize the actual poster in the end of May. Interested people can still join as authors for the poster until May 15.
 
4) Oral(?) presentation at ALD 2014, Kyoto
We will request another presentation at ALD 2014. Oral presentation is preferred, but we will also be happy, if a poster presentation is granted.
 
The goal is to go one step further in interpretations than in the posters, and to describe the major “new” information found on the early evolution of ALD in the Virtual Project on the History of ALD.
There is OPEN AUTHORSHIP also for this.
 
The authors are listed in an alphabetical order.
 
Authors of this presentation should also be authors of the posters (vice versa is not necessary).
 
If accepted as an oral presentation, the presentation will be given by Riikka. All authors will have a chance to propose contents and give comments on the presentation in advance. Would it be difficult to choose contents, Riikka Puurunen and Jonas Sundqvist will in the end decide what is included in the presentation.
 
5) A review article on the early history of ALD
The plan is to write a review on the early developments of ALD in a scientific journal. Optionally, we may seek to publish a translation of the review paper also in Russian.
The author list in the review article will be in the alphabetical order.
Prospective authors are requested to contact Riikka Puurunen (riikka.puurunen @vtt.fi), and Jonas Sundqvist (jonas.sundqvist @cnt.fraunhofer.de) and explain how they could contribute to the review article. All authors of the review article should preferably participate also in the poster presentations.
 
6) Updating wikipedia
If after accomplishing the “virtual project on the history of ALD” and writing the review paper it is evident that the information in wikipedia on the ALD pages can be improved, this will be done. (If you would like to volunteer for this, please contact us.)
 
Page of “atomic layer deposition”
Page of “atomic layer epitaxy”
Page of “multiple independent discoveries”
 

Sunday, January 12, 2014

Energy Storage on Chip Integrated Supercapacitors

[From Fraunhofer IPMS-CNT Web www.cnt.fraunhofer.de]
 
The progressive miniaturization of electronic devices such as of smartphones or sensors for medical, industrial and automotive applications requires smaller substrates. This drives amongst others the integration and scaling of space consuming external passive components for buffering and decoupling purposes on chip (SoC) or package (SiP) level. Simultaneously, extremely high capacitances are needed. The main parameters to increase the capacitance are on the one side the choice of an isolator material with high dielectric constant. Several high-k materials like HfO2, ZrO2 or Ta2O5 based systems are under investigation at the Fraunhofer IPMS-CNT.
 
Intensive material tuning is necessary to meet the electrical requirements for capacitor applications with respect to capacitance density and linearity, leakage current and reliability. While doing this, the capacitor area has to be as large as possible andthat can be achieved by 3D integration of high aspect ratio (AR) structures.


 
a) SEM cross section of a trench array with AR 13:1 filled with MIM stack and b) top down micrograph of Si trench array after silicon etch.

 
c) TEM micrograph of a MIM stack

The Fraunhofer IPMS-CNT has developed Si-integrated high-density capacitors based on 300 mm wafer technology aiming to buffer capacitor applications. A simplified patterning scheme using e-beam lithography and high technology dry etch processes provides structures with large aspect ratio in a high package density (Figure 1a and 1b). The used capacitor stack is based on a metalinsulator-metal (MIM) structure built from Al-doped ZrO2 as dielectric and TiN electrodes. All materials are deposited by atomic layer deposition to reach highly conformal step coverage in the large aspect ratio structures (Figure 1c). The electrical characteristics show very low leakage current densities normalized for a capacitor of 1 μF. Thereby, the capacitance is stable over the voltage region with a deviation smaller than 3 %.
The temperature stability is below 5 %. These values are significantly lower compared to common ceramic capacitors. The good electrical results are complemented by a reliability over 10 years. The maximum capacitance reached for the AR of 6:1 (Gen2) lies around 100 nF/mm2 for the material system which is adapted to an operation voltage of 3 V. This is a significant increase compared to planar capacitors (Gen1).. By increasing the AR to 13:1 (Gen3) a capacitance enhancement to 220 nF/mm2 could be achieved.
The Fraunhofer IPMS-CNT forces also an up-scaling of the 3D capacitors (Gen4-5) either by an improved etch-process or by using materials with higher dielectric constant. The outlook predicts integrated capacitors of 1 μF.

Further Information can be find in this excellent pape by Wenke Weinreich et al
High-density capacitors for SiP and SoC applications based on three-dimensional integrated metal-isolator-metal structures, Weinreich, W., Rudolph, M. ; Koch, J. ;Paul, J. ; Seidel, K. ; Riedel, S. ; Sundqvist, J. ; Steidel, K. ; Gutsch, M. ;Beyer, V. ;Hohle, C. Bus. Unit Fraunhofer Center Nanoeletronic Technol., Fraunhofer Inst. of Photonic Microsyst., Dresden, Germany, 2013 IEEE International Conference onIntegrated Circuit Design

The follow up to Google Glass could soon be here "Google lens"

Researchers at the Swiss Federal Institute of Technology in Zurich (ETH Zurich) have created clear, flexible electronic circuitry that is so thin it can sit upon the surface of a contact lens, or be wrapped around a human hair according to Gizmag.com. The research, led by Dr. Giovanni Salvatore, could ultimately be used for implantable medical devices. One such potential application suggested by the team is a “smart contact lens” that could monitor intraocular pressure for glaucoma patients.
In order to create the circuits, the layers are deposited using e-beam evaporation, atomic layer deposition, spin coating and radio frequency sputtering. The structuring is created using ultraviolet (UV) lithography and etching. The circuits are created on a substance called parylene, an insulator that is traditionally used as a protective coating for electronic devices and components.

 
The circuitry is so thin it can sit on top of a contact lens, or be wrapped around a human hair


Continue reading the complete story in Gizmag.

Saturday, January 11, 2014

Forecast the Global ALD market

 

Good news for everybody involved in ALD - the market is booming, according to Analyst the Global Atomic Layer Deposition market is to grow at a CAGR of 36.10 percent over the period 2013-2018. "One of the key factors contributing to this market growth is the growing demand for miniaturized components. The Global Atomic Layer Deposition market has also been witnessing the development of new atomic layer deposition materials. However, the slow deposition rate of atomic layer deposition could pose a challenge to the growth of this market."

"The Global Atomic Layer Deposition Market 2014-2018, has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the Americas, the EMEA region, and the APAC region; it also covers the Global Atomic Layer Deposition market landscape and its growth prospects in the coming years. The report also includes a discussion of the key vendors operating in this market."

Complete report is available here LINK

On other sources (http://goo.gl/phyJD0) on you can read the following:

"Development of new ALD materials is one of the major trends in the Global ALD market. The development of new ALD materials is expected to occur in the near future as several ALD material manufacturers are investing a huge amount to develop new ALD materials across the globe. In the last few years, the market has witnessed the introduction of some new materials such as Porous SiOC, AlO, Hf(Si)O, ZrO, LaO, and SiC by the vendors. The development of several new ALD materials is required for the in-depth understanding of growth of thin deposition layers and nanostructures to both inorganic and organic surfaces.
Analysts forecast the Global Atomic Layer Deposition market to grow at a CAGR of 36.10 percent over the period 2013-2018. According to the report, growing demand for miniaturized components is one of the major drivers of the Global ALD market. With the rapid development of nanotechnology and other advanced technologies, several manufacturing companies are in the process of manufacturing nano-components for increased compatibility and greater efficiency. Therefore, manufacturing companies across industries prefer the ALD technique to manufacture several smaller components.
 
 
Further, the report states that one of the major challenges is the need for high levels of investment. ALD equipment and materials are priced at a premium, hindering their adoption among SMEs.
Global Atomic Layer Deposition Market 2014-2018, has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the Americas, the EMEA region, and the APAC region; it also covers the Global Atomic Layer Deposition market landscape and its growth prospects in the coming years. The report also includes a discussion of the key vendors operating in this market.
The report recognizes the following companies as the key players in the Global Atomic Layer Deposition Market: Adeka Corp., Applied Materials Inc., and ASM International N.V.
Other vendors mentioned in the report are Air Liquide S.A., Air Products and Chemicals Inc., AIXTRON SE, Arradiance Inc., ATMI Inc., Beneq Oy, Centrotherm Photovoltaics AG, Encapsulix SAS, Hitachi Kokusai Electric Inc., Kurt J. Lesker Co., Levitech BV, NCD Co. Ltd., Nova-Kem LLC., Oxford Instruments plc, Picosun Oy, Praxair Technology Inc., SENTECH Instruments GmbH, SoLayTec, Strem Chemicals Inc., SVT Associates Inc., Tokyo Electron Ltd., Tosoh Corp., Ultratech Inc., and Veeco Instruments Inc."
 

Friday, January 10, 2014

A Fully-Integrated Quartz Crystal Controller for Thin Film Deposition from Colnatec

Housing leading-edge technology derived from Colnatec’s Eon™ frequency-temperature compensating circuitry, the Millennium™ Controller is an ultra-high resolution thin film deposition control system packaged into a standard 19-inch rackmount enclosure and featuring a versatile touch screen display.
 
Incorporating the same technology as the Eon-LT™, the Millennium™ offers a temperature measuring film thickness controller built into a modular framework. The Millennium™ controller, which is designed with the same level of dependability and precision of all Colnatec products, supports up to four sources and sensors (2 standard), co-deposition functionality, real-time frequency-temperature curve generation, and 500°C crystal operation.
 
 
Here are all the details: LINK

Thursday, January 9, 2014

The 12th Baltic ALD conference, May 12-13, 2014 in Helsinki, Finland

2014 it is 40 years since Dr. Tuomo Suntola filed his famous patent on ALE!
[information from Finnish Centre of Excellence in Atomic Layer Deposition - http://www.aldcoe.fi/bald2014/]


UPDATE: Scientific Program of Baltic ALD 2014
The schedule for BALD 2014 is now available in PDF or DOCX format.
The poster presentations are listed here as a PDF file.

The conference is a continuation of a series of meetings that started in 1991 in Espoo as a Helsinki University of Technology – Tartu University ALE symposium, followed by a symposium in Tartu in 1993. In 1995 the meeting was organized by University of Helsinki and at that time the name was broadened to Baltic ALE symposium. In 1997 in Tartu it adopted its present name and has subsequently circled around the Baltic Sea in Uppsala, Oslo, Warsaw and Hamburg besides Finland and Estonia.

Invited Speakers:

Professor Jaan Aarik, University of Tartu, Estonia
Professor Victor Drozd, St. Petersburg State University, Russia
Professor Marc Heyns, IMEC, Belgium
Professor Kornelius Nielsch, University of Hamburg, Germany
Professor Shi-Woo Rhee, POSTECH, Korea
Professor Xueliang (Andy) Sun, The University of Western Ontario, Canada
Dr. Jonas Sundqvist, Fraunhofer CNT, Germany
Dr. Tuomo Suntola, Picosun Ltd., Finland
Professor Roger Webb, University of Surrey, England
 


Important dates:


Online Abstract Submission Open : December 2, 2013
Deadline for Abstract Submission : January 31, 2014
Online Registration and Hotel Accommodation Open : January 1, 2014
Notification of Acceptance : March 15, 2014
Deadline for Online Registration : April 17, 2014

NB! The abstract submission deadline has been extended by one week till February 7, 2014

Wednesday, January 8, 2014

2014.03.24 - NaMLab, The Novel High k Application Workshop

Hörsaal TU Dresden Fakultät Informatik: http://www.inf.tu-dresden.de/index.php?node_id=12&ln=de

Nöthnitzer Straße 46 D-01187 Dresden

(between Namlab and the MPI last year)

Preliminary Program for the Workshop coming soon

Monday, January 6, 2014

ALD 2014 conference news - The call for papers and website is here

Good news for everybody seaking to go to Japan this summer and attend the ALD 2014. The website for the AVS-ALD 2014 conference is now open, and a first call for papers has been issued. Check it out here: http://www.ald2014.org/
Also a twitter account ALD 2014 @ALD_2014 has come alive. Nice!
 
 

A new review paper on ALD on particles

Here is a new review paper on ALD on particles with special focus on the reactor designs - very interesting topic.

Reactor concepts for atomic layer deposition on agitated particles: A review
Delphine Longrie, Davy Deduytsche and Christophe Detavernier
J. Vac. Sci. Technol. A 32, 010802 (2014)

The article covers, agitation or fluidization of the particles is necessary for performing ALD on (nano)particles. The principles of gas fluidization of particles will be outlined, and a classification of the gas fluidization behavior of particles based on their size and density will be given. Following different reactor concepts that have been designed to conformally coat (nano)particles with ALD will be described, and a concise overview will be presented of the work that has been performed with each of them ending with a concept reactor for performing spatial ALD on fluidized particles.

I. INTRODUCTION
II. ALD ON STATIC PARTICLES
A. Particles supported in a grid
B. Particles contained in a crucible
1. Calculations for particles contained in a crucible
III. GAS FLUIDIZATION OF PARTICLES
A. Fundamentals
B. Classification of fluidized particles
IV. ALD ON FLUIDIZED PARTICLES
V. ALD ON AGITATED PARTICLES
A. Rotary reactor for thermal ALD on particles
B. Rotary reactor for plasma-enhanced ALD on particles
VI. SPATIAL ALD ON PARTICLES

Sunday, January 5, 2014

Veeco Promo Video for FAST-ALD(TM)

Veeco Instruments Inc. announced in end of 2013 that they have signed an agreement to acquire privately held Synos Technology, Inc. It seems that it is a done deal today and therefore Veeco recently reported on the Key Benefits of FAST-ALD(TM), a type of Spatial ALD:

- Ultra-fast ALD process with continuous substrate scan
- Large substrate scalability without losing process throughput
- Excellent uniformity with closed loop linear reactor design
- Low CoO with high efficient gas-solid reaction process
- Simultaneous In-line multi-process capability
 
 
Screen dump from http://www.veeco.com/promos/fast-ald.aspx 2014-01-12

See more and watch an amazing Veeco Promo Video for FAST-ALD(TM) for Flexible OLED Display at LINK
Spatial ALD is big business today. You can assume that it is contributing to the growth in the ALD market that has been and is forecasted to come the next 5 years. Therefore, stay tuned here on the Best ALD News Blog, information on other Spatial ALD Companies, Innovations and Technologies will be updated:

- Spatial ALD papers, Spatial ALD research from TNO and others
- First spatial patents and very recent patents
- Kodak
- Solaytec
- Jusung
- Levitech
- Beneq
- Roll to Roll Spatial ALD

Please Email any ideas or information to jonas.sundqvist@baldengineering.com