Tuesday, May 10, 2016

Welcome to St. Petersburg at the BALD 2016!

Welcome to St. Petersburg at the BALD 2016!


 Dear Colleagues,
The first time the Baltic Conference on Atomic Layer Deposition (BALD) will be arranged in St. Petersburg (Russia) where Prof. V.B. Aleskovskii with his colleagues and assistants created the foundations of ALD in the 1950x. The aim of the Conference is to demonstrate recent developments in the areas of technology and applications of Atomic Layer Deposition (ALD) and to show contribution of the Russian research centres to ALD applications.
The Conference Program will consist of plenary, oral and poster thematic sessions and small exhibition.
 The BALD 2016 will provide a versatile platform for formal and informal discussions with colleagues from both academia and industry, and foster new collaborations and partnerships.


 

The Conference will cover the following topics:
 
  • Metals, nitrides, carbides; 
  • Microelectronic applications; 
  • Reaction mechanisms; 
  • Process development;
  • Energy applications;
  • Micro- and nanosystems, physics and technology;
  • Characterization;
  • Hybrid materials.

The BALD 2016 will be held at the Solo Sokos Hotel Palace Bridge in St. Petersburg (Russia) from October 2nd to 4th, 2016.

On behalf of the International Advisory Board and Local Organizing Committee, I cordially invite you to participate in the 14th International Baltic Conference on Atomic Layer Deposition.
Prof. Vladimir Kutuzov
Chairman of the BALD 2016
St. Petersburg Electrotechnical University "LETI"

Saturday, May 7, 2016

Missouri S&T Team boosts lithium-ion battery performance with ALD

Researchers Missouri University of Science and Technology are working to solve the problem of short-life of lithium-ion batteries like those used in laptops and cellphones, making them reliable and longer-lasting using a atomic layer deposition. This study was carried out using a fluidized bed reactor.

Science Daily reports the following:

"Dr. Xinhua Liang, assistant professor of chemical and biochemical engineering at Missouri S&T, leads the study to dope and coat lithium magnesium nickel oxygen (LMNO) with iron oxide through ALD -- at the same time. Doping means adding an element or compound into the crystalline structure, or lattice, filling in the gaps in the LMNO. Coating is what it sounds like, putting ultra-thin layers of iron oxide around the whole compound. Rajankumar Patel, a Missouri S&T Ph.D. candidate in chemical engineering who will graduate next week, did the majority of the experimental work in the project


TEM images of (a) clean edge of an uncoated LiMn1.5Ni0.5O4 particle, and (b) ~3 nm of conformal iron oxide film coated on one LiMn1.5Ni0.5O4 particle after 160 cycles of iron oxide ALD, (c) cross sectional TEM image of one LiMn1.5Ni0.5O4 particle with 160 cycles of iron oxide ALD, (d) Fe element mapping of cross-sectioned surface by EDS, and (e) Fe EDS line scanning along the red line as shown in (c). TEM image indicates that conformal iron oxide films were coated on primary LiMn1.5Ni0.5O4 particle surface. EDS mapping and EDS element line scanning indicates that Fe was doped in the lattice structure of LiMn1.5Ni0.5O4. (From Open Source - Scientific Reports 6, Article number: 25293 (2016), doi:10.1038/srep25293)


The operating voltage window of LMNO makes it a potential candidate for use in hybrid electric vehicles (HEV). However, it has not gained commercial usability in HEV because of high-capacity fade during cycling at elevated temperatures and manganese(3+) dissolution by hydrogen fluorine.

"Unlike current research practice that either covers the particles' surface with insulating film or dopes the particles to improve the performance of the battery," Liang says, "this ALD process combines the coating and doping processes into one, and applying this technique makes rechargeable lithium-ion batteries last longer."

"This is the first report for a unique phenomenon of ionic iron entering the lattice structure of LMNO during the ALD coating process," Patel says.

Full story: https://www.sciencedaily.com/releases/2016/05/160505105220.htm and Open Source article below published in Scientific Reports.

Employing Synergetic Effect of Doping and Thin Film Coating to Boost the Performance of Lithium-Ion Battery Cathode Particles

Rajankumar L. Patel, Ying-Bing Jiang, Amitava Choudhury & Xinhua Liang

Scientific Reports 6, Article number: 25293 (2016), doi:10.1038/srep25293

Atomic layer deposition (ALD) has evolved as an important technique to coat conformal protective thin films on cathode and anode particles of lithium ion batteries to enhance their electrochemical performance. Coating a conformal, conductive and optimal ultrathin film on cathode particles has significantly increased the capacity retention and cycle life as demonstrated in our previous work. In this work, we have unearthed the synergetic effect of electrochemically active iron oxide films coating and partial doping of iron on LiMn1.5Ni0.5O4 (LMNO) particles. The ionic Fe penetrates into the lattice structure of LMNO during the ALD process. After the structural defects were saturated, the iron started participating in formation of ultrathin oxide films on LMNO particle surface. Owing to the conductive nature of iron oxide films, with an optimal film thickness of ~0.6 nm, the initial capacity improved by ~25% at room temperature and by ~26% at an elevated temperature of 55 °C at a 1C cycling rate. The synergy of doping of LMNO with iron combined with the conductive and protective nature of the optimal iron oxide film led to a high capacity retention (~93% at room temperature and ~91% at 55 °C) even after 1,000 cycles at a 1C cycling rate.

CORIAL releases process control software for atomic layer processing using conventional dry process tools

Plasma etch and deposition equipment maker CORIAL (http://corial.net) of Bernin, France, a provider of plasma etching and deposition equipment has launched COSMA Pulse as new software enabling pulsed or time-multiplexed processing on conventional dry process tools. 



The firm has found a way to add new capabilities (pulsing of process parameters) to conventional dry etching and plasma-enhanced chemical vapor deposition (PECVD) systems to realize deep reactive ion etching (DRIE)-Bosch processing, atomic layer etching (ALE) and/or atomic layer deposition (ALD). 

Developed with the R&D market in mind, COSMA Pulse can control and pulse simultaneously and independently from all other process parameters, including gas flow rate, working pressure, RF power, LF power, or virtual process parameters. 

"We are proud to announce the first successful demonstration of COSMA Pulse on a 200mm ICP-RIE system", says R&D manager Jean-Pierre Roch. For this demo, CORIAL chose the DRIE-Bosch – a classical process that alternates repeatedly etching and passivation steps to achieve deep anisotropic etching of silicon structures. DRIE-Bosch is the cornerstone of MEMS, advanced packaging and power devices manufacturing flows. "COSMA Pulse, with adequate electronic controller, will deliver very fast process step switching from 10ms for a single process step up to 1 minute," says Roch.

The software ensures that the wide range of dry etching and deposition techniques - from continuous wave plasma to pulsed processing - can be realized in conventional ICP-RIE and PECVD tools. 

"COSMA Pulse expands CORIAL's available market, giving customers alternative options for their etching processes," says marketing manager Elsa Bernard-Moulin. "This demonstration is just a taste of the capabilities of COSMA Pulse. Soon, we will be letting you see true atomic layer processing on our conventional ICP-RIE tool."

Thursday, May 5, 2016

Photo show from The CMC Conference - a Great Success!

Here is an ongoing blog on reporting on the CMC Conference in Hillsboro 5-6th of May. For those of you who attended - Thank you for attending the Critical Materials Conference! It was an overwhelming success! Attendees comments thus far include: "fantastic event", "really appreciated", "great value", with "High quality speakers and attendees"! We want to hear more! 

"It was one of the best conferences that I have ever attended."

More information will be added during the coming week and if you have any nice pictures from the event please send them to me. Also stay tuned for the announcement from the CMC Panel that will be published soon by CMC Conference Co-Chair Ed Korczynski

For attendees still in need of access, please email us
Don't forget to Plan for CMC Conference 2017
May 4, 2017, Dallas, TX (tentative) 
The Critical Materials Conference is a 2 day event providing actionable information on materials and supply-chains for current and future semiconductor manufacturing. Business drives the world, but technology enables semiconductor business, so we must understand the dynamics of how materials and technologies enable the scaling of devices in IC fabs. Conference speakers will provide information on critical materials used in HVM fabs, while also looking at manufacturing integration issues associated with new materials needed for future devices. Notable speakers from leading semiconductor fabricators, and materials companies, and leading market research firms will provide insights on this ever changing area of semiconductor process materials and markets.



I met the first time for real with Angel Yanguas-Gil, a staff scientist at Argonne National Laboratory‘s Energy Systems Division and also an Institute Fellow at the Northwestern Argonne Institute of Science and Engineering (NAISE) at Northwestern University. Angel took a very active part in the sessions Q&A with insightful questions to the ALD Industry. Check out his web here for some more information and cool stuff.


The CMC Conference was held at the Embassy Suites by Hilton Portland Hillsboro, Oregon, USA 5-6th of May.


Posing with my ALD2016 Ireland polo shirt.



Hiromichi Enami form Hitachi High-Tech and CMC Co-Chair Ed Korczynski discussing critical challenges in the semoconductor materials supply chain at the round table discussions.



Rasirc sponsoring and presenting "Hydrazine as a Low Temperature Nitride Source: Materials Challenges for High Volume Manufacturing " at the event posing Dan Alvarez CTO and Jeff Spiegelman President and Founder.



 Two of the speakers, Dr. S.I. Lee (CTO Veeco) presenting "Low Temp Spatial ALD for Multiple Patterning Materials " and Dr. Jean Marc Girard (CTO Air Liquide) presenting "ALD Precursor Development Challenges for HVM" as well as being part of the conference committee. There will be an opportunity to meet both gentlemen ALD 2016 in Dublin. 




Part of Team ZyALD(TM): Ravi Laxman (Linde), Jonas Sundqvist, Ashotosh Misra (Air Liquide) and Jean Marc Girard (Air Liquide).


All set for giving my talk on ALD/CVD Precursor & Equipment Market Trends. Please contact me if you would like to have a copy of the presentation. (Picture by Angel)


The Round Table and Beer Tasting event organized by Alan Balderson (Kanto Chemical) and sponsored by Hitachi High-Tech was very successful and here is the collection of local beers that were part of the tasting.



Day 2 ended with The CMC Conference Panel moderated by Ed Korczyinski (Techcet/Solid State Technology) : John Smythe (Micron), Jonas Sundqvist (Techcet/Fraunhofer IKTS), Jeff Hemphill (Intel), Jean Marc Girard (Air Liquide)



Tuesday, May 3, 2016

The 2016 Symposia on VLSI Technology & Circuits presskit is out

The VLSI Symposia is an international conference on semiconductor technology and circuits that offers an opportunity to interact and synergize on topics spanning the range from process technology to systems-on-chip.  http://vlsisymposium.org/

The following press materials are available for pre-conference publicity for the 2016 Symposia on VLSI Technology & Circuits: http://vlsisymposium.org/press-kit/ 


Hilton Hawaiian Village Venue (Picture from www.vlsisymposium.org press kit)

Especially interesting is the Technical Highlights from the Symposium on VLSI Technology  document: http://vlsisymposium.org/wp-content/uploads/2013/06/VLSI-2016-Tipsheet-4.18.16-FINAL.pdf


Monday, May 2, 2016

ALD for Industry - a topical workshop with focus on industrialization and commercialization of ALD

ALD for Industry - a topical workshop with focus on industrialization and commercialization of ALD for current and emerging markets. The workshop is organized by EFDS, IHM TU Dresden and Fraunhofer IKTS in collaboration with ALD Lab Saxony and leading ALD companies.


Co-Chairs: Dr. Christoph Hossbach IHM-TU Dresden & Dr. Jonas Sundqvist Frauhofer IKTS
 
Date: 17 to 18th of January 2017
   
Day 1: Tutorial & Tour of IHM, Fraunhofer IKTS and NaMLab Dresden
TU Dresden, Werner-Hartmann-Bau
   
Day 2: Workshop & Industrial Exhibition
Swissôtel Dresden Am Schloss

Please find more information here and the Exposé here.

Friday, April 29, 2016

ALD on the road to Dublin - Visit at the Convention Centre Dublin



ALD and ALE 2016 Site Visit at the Convention Centre Dublin

ALD 2016 Conference Co-Chair Simon Elliott and ALE Chair Bert Ellingboe, along with Happening Conferences and Events, met on Thursday 28 April at the CCD in Dublin to finalise plans for the conference.  It is going to be an exciting 4 days in July and we can’t wait to welcome everyone to Dublin!


Bert Ellingboe Dublin City University , Simon Elliott, Tyndall National Institute & Anne Doherty, Happening Conferences and Events Launching the 16th International Conference on Atomic Layer Deposition incorporating the 3rd International Workshop on Atomic Layer Etching"
L - R Bert Ellingboe Dublin City University & Simon Elliott, Tyndall National Institute launching the 16th International Conference on Atomic Layer Deposition incorporating the 3rd International Workshop on Atomic Layer Etching

Thursday, April 28, 2016

UPDATE! Tutorials on Atomic-Layer-Processing – Sunday 24th July ALD2016 Dublin

Tutorial on Atomic-Layer-Processing – Sunday 24th July

Time  Speaker  Organisation  Title 
13:00 Fred Roozeboom TU Eindhoven, The Netherlands Processing for 3D-IC Technologies
13:30 Annelies Delabie IMEC, Belgium Atomic layer processing of 2D materials for beyond CMOS applications
14:00 Break
14:15 Sumit Agarwal Colorado School of Mines USA Plasma Physics and Diagnostics
14:45 Stephan Wege Plasway GmbH, Germany Plasma Processing Reactor Design
15:15 Keren Kanarik Lam Research, USA Overview of Atomic Layer Etching
15:45 Break
16:00 Sean Barry Carleton University, Canada ALD Precursor Design & Synthesis
16:30 Massimo Tallarida Alba, Spain Characterization of ALD processes and Materials using Synchrotron Light
17:00 Coaches to Guinness Storehouse for Welcome Reception

Wednesday, April 27, 2016

2016 Critical Materials Conference Update -The Agony of New Material Introductions

 Buy Reports  | CMC Fabs   |  CMC Conference  |  Register Now

The Agony of New Material Introductions

Now that shrinks of IC feature sizes have begun to reach economic limits, future functionality improvements in ICs are increasingly derived from the use of new materials. The Critical Materials Conference 2016 - to be held May 5-6 in Hillsboro, Oregon (cmcfabs.org) - will explore best practices in the integration of novel materials into manufacturing. Dr. David Thompson, Senior Director, Center of Excellence in Chemistry, Applied Materials will present on "Agony in New Material Introductions - minimizing and correlating variabilities," which he was willing to discuss in advance with SemiMD.  "We'll review the challenges we run into after the materials system and chemistries are set and have been proven generally viable, but still require significant optimization", said Thompson.  Problems with dual-sourcing will also be revealed, "chemical suppliers protect their knowledge - not simple IP - but also their sub-supply-chains and proprietary methods of production, transport and delivery"..."In most cases the initial batch of any new molecule is made at a scale that would fit in a coffee mug." For the full article, click here, or attend Session II of the Critical Materials Conference, May 5-6, in Hillsboro, OR, for more details
This year's Critical Materials Conference features speakers from Intel, Micron, Veeco, and Air Liquide; among more than 20 powerful & actionable presentations

A highly differentiated program, with networking opportunities for all attendees. For full agenda details click here.
 
For more information on the conference please go to www.cmcfabs.org/seminars/ or contact 
cmcinfo@techcet.com or call 1-480-382-8336
 
Sponsors and Committee
Techcet LLC, PO Box 3056, Rancho Santa Fe, CA 92067

Tuesday, April 26, 2016

Strong sales of ALD production clusters boost Picosun

Picosun reports today : Picosun Oy, the leading equipment and solutions provider for high end ALD (Atomic Layer Deposition) thin film coating technology, continues breaking sales records with its PICOPLATFORM™ production cluster tools.
 
Increasing sales of high volume PICOPLATFORM™ clusters to key industrial customers boosted the company’s 12-month rolling sales to 57 % growth, further accelerating the strong growth seen in 2015. Both batch and single wafer cluster tools are being installed in the leading semiconductor companies in the USA and Asia.

The main factors behind the continuous success of the PICOPLATFORM™ technology are low cost of ownership due to fast processing with multiple batch or single wafer ALD reactors in the same cluster tool, and easy maintenance with several support agreement options. Fully automated, SEMI S2 certified hardware solutions offer the leading process quality with record-low particle levels and excellent yield for up to 300 mm wafer size. The flexible, modular configuration of the cluster tools enables optimized production solutions tailored for every customer’s individual needs.

The strong sales promote substantial investments towards even stronger growth for Picosun. Best-in-class contract coating facilities, advanced service product portfolio, and expanding premises and worldwide locations affirm Picosun’s position as the ALD solutions provider of choice for the global microelectronics industries.

“We are proud of the success of our PICOPLATFORM™ technology. Fast, fully automatic batch processing ensures continuously new design wins with economical production and excellent end product quality. Customer satisfaction is everything to us. We are happy to witness how our dedication to ALD and our decades of ALD expertise now enable manufacturing in the most advanced semiconductor technology nodes,” states Mr. Juhana Kostamo, Managing Director of Picosun.

Sunday, April 24, 2016

Gartner 2015 Top 10 WFE ranking picking up the ALD boom

According to Gartner the Worldwide semiconductor wafer-level manufacturing equipment revenue totaled $33.6 billion in 2015, a 1 percent decline from 2014. The top 10 vendors accounted for 77 percent of the market, which is a slight down as compared with 2014(%).

Interesting here form an ALD point of view is first to see that ASM International who heavily relies on ALD technology has moved in on top 10. Also Lam Research is climbing on its own (w/o KLA) up to a spot 2 position. How much of this growth is in actual ALD revenue is not known but many claim that Lam Research is taking market shares in ALD especially in the memory sector (DRAM & NAND)

"Lam Research experienced the strongest growth of the top 10 vendors in 2015, moving into the No. 2 position. The move of the industry to 3D device manufacturing pushed the company to 24.7 percent growth. Lam continues to be the dominant conductor etch manufacturer, but competition in the etch and deposition segment is expected to be fierce moving forward." reports Wall Street Insider (here).

I am no mathematician but Lam Research + KLA-Tencor will be Number 1 if the merger is completed.

Top 10 Companies' Revenue From Shipments of Total Wafer-Level Manufacturing Equipment, Worldwide (Millions of U.S. Dollars). As published by Wall Street Insider (here).

New Picosun video of ALD batch processing for coin protection

The coin and watch industries are two traditional technology branches which can  benefit from ALD. technology. Picosun has shared a new video on Youtube for their ALD coin protection production tool. More information can be found here : http://www.azom.com/article.aspx?ArticleID=11424


A batch of coins that is loaded into a Picosun ALD reactor by an industrial robot.
 

A youtube video showing the loading procedure for batch processing of coins.

Friday, April 22, 2016

All about ALD - ASM Internationals Q1 2016 Earnings Conference Call

ASMi reports that Gartner and VLSI have recently estimated that the ALD market grew in excess of 20% in 2015. That makes ALD among the fastest-growing market segments within the wafer equipment market. ASMi is bthe leader in terms of the broad number of applications and market share in ALD. However, as reported earlier ASM sees a risk for a market share loss in the booming ALD market from strong competition. This is understandable since the ALD market is projected to double in the next 3-4 years for single and multi wafer tools while Large Batch Furnace ALD will grow at a lower pace.  However, for the 2016 calendar year ASMi expect a market share in ALD higher than in 2015.


ASM International N.V.  Q1 2016 Earnings Conference Call April 21, 2016 9:00 AM ET

The ASMi Earnings call was All About ALD and here are some statements from ASMi CEO Chuck del Parado made during the 1Q 2016 call investor today taken from the transcripts of the Q1 2016 Earnings Conference Call April 21, 2016 9:00 AM ET provided by Seeking Alpha (available here

Also good to have a look at is the Investor presentation for 1Q 2016 available here.

General

  • The ALD business continued to be the key revenue driver. By customer segment, revenue in the quarter was led by memory, still building on the strengths in 2015, followed by logic and foundry.
  • The market for single-wafer/mini-batch ALD remains on track with our forecast to double over three to four-year period. And that that is from more than US$600 million in 2014 to, at least, US$1.2 billion by the 2017/2018 timeframe [as a reference point the total deposition (PVD, CVD, ALD) market share was ~8 billion in 2015]
  • In summary, the prospects for ALD market in the coming years continue to be strong. And as a market leader, we believe we are well-positioned to benefit.

Logic Foundry

  • the biggest driver for single wafer ALD in 2016 could very well be logic/foundry. And if that really becomes true then likely our market share will increase in 2016. 
  • Logic represented the largest segment in the first quarter, and showed a strong increase compared to the fourth quarter, driven by demand related to the 10-nanometer technology node
  • the High-k Metal Gate applications, customers require more precise and formal deposition for several critical steps, such as for certain spacers and liners to build these advanced and complex infrastructures. In addition, the shrink to 10-nanometer also drives demand for multiple patterning, with more of the critical patterning steps 10-nanometer requiring spacer-defined multiple patterning based on single wafer ALD.
  • In total, the number of ALD layers were 10-nanometer, for which we have been selected has increased substantially compared to the previous technology node. As customers have started to make the first investments in the volume ramp of 10-nanometer, our confidence has strengthened that with the continued solid and leading market share, we are well-positioned for a significant increase in a share of wallet with key customers in the logic, foundry segment.

Memory

  • In the memory market, we already have a strong track record in multiple patterning, where our ALD equipment has supported key customers in the ramp of several technology generations. Multiple patterning continues to be a key enabler for customers in the DRAM sector. At the next technology node, the 1x node, the number of layers that require ALD-based multiple patterning will further increase.
  • The memory sector, which let our bookings in the last six quarters, represented the second largest segment in the first quarter, roughly on par with the foundry segment
  • In NAND flash, customer investments are increasingly geared towards 3D NAND. As we explained in previous calls, ALD-based patterning requirements are substantially less in 3D NAND compared to Planar NAND. At the same time, we are strongly focused on long patterning applications in 3D NAND and we expected these applications will increasingly contribute to our revenue in the second-half of 2016 and going into 2017.

EUV

  • EUV could best case hit the market at 7, but if it hits the market, we – the overall expectation from everybody is that the impact will be limited, if at all, at 7-nanometer, and that – a stronger influence could happen at 5-nanometer. 



Thursday, April 21, 2016

High - K & Metal Precursors for ICs to Reach ~$400M by 2020

The Techcet ALD/CVD  High K & Metal Precursors report provides information on the applications and markets associated with front end and back end of line precursors used to produce high dielectric constant (K) dielectrics and atomic layer deposition metal oxides and nitrides.
 
 
 
Each report order includes an advisory service. Click to learn more.



Lam Research quarterly conference call - reporting on ALE & ALD

Here are the interesting parts on Atomic Layer Etching (ALE) & Atomic Layer Deposition (ALD) from the Lam Research quarterly conference call. The call was with Martin Brian Anstice, President and Chief Executive Officer and Doug Bettinger, Executive Vice President and Chief Financial Officer. Lam see that they will grow over the next several years by partnering closely with customers to enable key technology inflections such as 3D device architecture and multi-patterning process flows. These are two areas which can only be realized by Atomic Layer Processing like ALD & ALE. After spending many years with hybrid ALD/CVD processes (as Novelus) Lam is now obviously heading strong in the direction of pure ALD and also one of the main driving companies for ALE.  



This is a recent previous blog on Lam Research and ALD "Lam Research gets into the booming ALD business and doubles their install base". Below are selected parts that I found interesting of what Martin Brian Anstice had to say with respect to Etch, ALE and ALD for 3DNAND and multi-patterning:  

"First generation 3D NAND production involves etching through 30 or more stacked pairs of films, for these are growing to 60 or more pairs for next-generation devices, resulting in increased challenges for critical high aspect ratio dielectric etch and also staircase conductor etch. Our Flex F and G Series dielectric products featuring proprietary ion energy control and high selectivity have tripled their installed base in the last year and established Lam as the market leader in dielectric etch segments."

"Our Kiyo conductor etch platform with industry-leading etch selectivity has enabled more than a two times improvements in the number of layers that can be etched in situ for staircase applications with the results that a majority of 3D NAND customers have now included LAM in their staircase etch HVM purchases."

Martin Anstice is president and chief executive officer of Lam Research. (www.lamresearch.com)

"Our VECTOR ALD platform offers differentiated processing capability, allowing expansion of our SAM [SAM = served available market] for multi-patterning to now include 3D NAND gapfill applications also.Turning to FinFET and multi-patterning, during the quarter, we continued to build on the momentum of ourdifferentiated Kiyo with Hydra conductor etch and Flex dielectric etch platforms for critical front-end-of-line FinFET transistor solutions for 10-nanometer and 7-nanometer technology nodes."

"As we communicated at the recent SPIE Lithography Conference, atomic level processing to control variability is increasingly critical for multiple patterning and that need will persist in an EUV-enabled environments. Our customers at the conference continues to affirm their strategy of leveraging improvements in both EUV and multi-patterning to address their needs and together with our peer group. We have conviction, the deposition and etch multi-patterning applications will grow for many years to come."

Later in the Q&A section Martin Brian Anstice got some interesting questions with respect to ALE & ALD. Here he explained the with respect to ALE "...as the technology roadmap of the customers gets more challenging, the bigger the proportion of etch-related differentiation will be occupied by atomic level control", i.e., ALE. On the topic of ALD he was much more reluctant to answer specific questions due to the tough competition in ALD, but he stated  that "the atomic level deposition product roadmap for us has an opportunity to be disruptive more holistically and creates growth potentials for Lam Research above and beyond what might be available for a generic deposition kind of baseline." Here you can speculate that he is referring to ALD for High-k/Metal Gate stackst or  maybe High-k based Memory stacks, which are technologies Lam has not entered in the past. He ended by saying "So, we are behaving with stealth for reasons that are really important to us in terms of competitive advantage." So it will most probably come some interesting ALD technology from Lam in the future - obviously one wonder if it will be a Spatial ALD Technology like Applied Materials and Veeco.

Vortex Rotary Batch ALD by Lotus Applied Technology

Some days ago Beneq  shared news about the next solution of their spatial ALD equipment family: A new rotary spatial reactor that they are developing based on technology licensed from Lotus Applied Technology.



This form of Spatial ALD technology is pretty cool and for those of you interested details on the technology can be found here

"Instead of pulsing and purging precursors from a static substrate in a single chamber, the substrate is transported by rotation to the various precursor and purge zones of the reactor, eliminating the time required for precursor introduction, saturation, and purge with each ALD cycle. Further, Lotus’ patented Radical Enabled ALD process, incorporating Precursor “Separation” by Radical Deactivation technology, greatly simplifies zone separation in the reactor, and enables the use of a simple DC plasma for PEALD processing.


In summary, Lotus’ Vortex Rotary ALD offers many advantages, including:
  • Batch deposition speeds of several angstroms per second – faster than many oxide sputtering processes
  • Low particulate generation – no coating anywhere in the chamber except on the substrates and carrier surface
  • Low materials costs – precursors are consumed only on the substrate track – exhausted precursor material may be captured and re-used
  • Low temperature processing using simple DC plasma
  • All the advantages of ALD coatings


Wednesday, April 20, 2016

NRL Reveals Novel Uniform Coating Process of p-ALD



Scientists at the U.S. Naval Research Laboratory (NRL) have devised a clever combination of materials - when used during the thin-film growth process - to reveal that particle atomic layer deposition, or p-ALD, deposits a uniform nanometer-thick shell on core particles regardless of core size, a discovery having significant impacts for many applications since most large scale powder production techniques form powder batches that are made up of a range of particles sizes. 


Artistic depiction of prior understanding of p-ALD (left) and new understanding of p-ALD (right) gained by NRL’s work, as well as implications for proactive applications using particle atomic layer deposition, which as UV, are captured in solar cells and abrasion resistance paints.
(U.S. Naval Research Laboratory) - See more at: http://www.nrl.navy.mil/media/news-releases/2016/NRL-Reveals-Novel-Uniform-Coating-Process-of-pALD#sthash.RLSdtwyU.dpuf


Artistic depiction of prior understanding of p-ALD (left) and new understanding of p-ALD (right) gained by NRL’s work, as well as implications for proactive applications using particle atomic layer deposition, which as UV, are captured in solar cells and abrasion resistance paints. (U.S. Naval Research Laboratory)

 


- See more at: http://www.nrl.navy.mil/media/news-releases/2016/NRL-Reveals-Novel-Uniform-Coating-Process-of-pALD#sthash.RLSdtwyU.dpuf

The original journal publication in JVSTA is given below as an abstract.


Growth per cycle of alumina atomic layer deposition on nano- and micro-powders

    Kedar Manandhar1,a), James A. Wollmershauser2, Janice E. Boercker2 and Boris N. Feigelson2,a)
    + View Affiliations
    a) Present address: Electronic Science and Technology Division, Naval Research Laboratory, 4555 Overlook Avenue SW, Washington DC 20375, USA. Authors to whom correspondence should be addressed; electronic addresses: borisf@estd.nrl.navy.mil; kedar.manandhar.ctr@nrl.navy.mil
    J. Vac. Sci. Technol. A 34, 021519 (2016); http://dx.doi.org/10.1116/1.4941918
     
    Core–shell powders consisting of a tungsten particle core and thin alumina shell have been synthesized using atomic layer deposition in a rotary reactor. Standard atomic layer deposition of trimethylaluminum/water at 150 °C utilizing a microdosing technique was performed on four different batches of powder with different average particle sizes. The particle size of the powders studied ranges from ∼25 to 1500 nm. The high mass-thickness contrast between alumina and tungsten in transmission electron microscopy images demonstrates that the particle core/shell interface is abrupt. This allows for the uncomplicated measurement of alumina thickness and therefore the accurate determination of growth per cycle. In agreement with prior works, the highest growth per cycle of ∼2 Å/cycle occurred on the batch of powder with the smallest average particle size and the growth per cycle decreased with increasing average particle size of a powder batch. However, the growth per cycle of the alumina film on an individual particle in a batch is shown to be independent of the size of an individual particle, and therefore, a powder batch which consists of particles size spanning orders of magnitude has constant shell thickness on all particles. This uniformity of thickness on different particle sizes in a particular batch is determined to be due to the difficulty of removing residual water molecules from the powder during the purging cycle of the atomic layer deposition(ALD) process. Therefore, rotary ALD on a single batch of powder with wide particle size distribution provides the same shell thickness regardless of individual particle size, which may have positive implications for particle ALD applications where the shell thickness determines critical parameters, such as particle passivation and manipulation of optical properties.