Monday, August 24, 2015

ALD Lab Dresden sends away Varun Sharma to Helsinki, Finland




ALD Lab Dresden, Institut für Halbleiter- ind Mikrosystemtechnik at TU Dresden takes farewell and sends away Varun Sharma to Helsinki, Finland to learn from the masters how to ALD. Please take good care of Varun for us because he too was born to ALD. (Varun Sharma, Christoph Hossbach, Jonas Sundqvist, Martin Knaut)


ALD and VTT in Finland mentioned in report on the Global Packaging Coatings Market, 2015

Crazy day first a call on non-semi applications with a dear old friend and then multiple reports popping up on the same topic. Yeah I know I once owned a Citroen and since then I see them all the time. Anyhow, here is another non-semi ALD future where ALD and VTT in Finland mentioned in report on the Global Packaging Coatings Market, 2015. However a CAGR of 4.2% is not that much to hang in the christmas tree as we say in Sweden compared to many reports saying ALD growth is above CAGR 30%. But then again ALD can for sure grow at high speed within a large market!



Research and Markets (http://www.researchandmarkets.com/research/qk7q2n/global_packaging) has announced the addition of the "Global Packaging Coatings Market 2015-2019" report to their offering.

The increase in consumer preference for eco-friendly products is an important trend being witnessed in the global packaging coatings market. The manufacturers of packaging coatings, which include beverage packaging and food packaging, and are developing new and eco-friendly coatings to comply with regulations and to meet the increasing demand for sustainable products that do not harm the ecosystem. 

This in turn is expected to propel the growth of the market during the forecast period. The VTT technical research center has developed technology for recyclable and eco-friendly packaging coatings solutions. These coatings help in the manufacture of thin, lightweight, fully recyclable, and air-tight packaging materials. They use the atomic layer deposition (ALD) method, which are helpful in food and pharmaceutical packaging as they have a good gas permeation resistance. Moreover, these recyclable coatings do not use barrier materials such as aluminum film, thereby using less raw materials compared to traditional packaging coatings materials.

According to the report, the global packaging coatings market to grow at a CAGR of 4.2% over the period 2014-2019. The growth of the global packaging industry is a major driving factor in the growth of the global packaging coatings market. The packaging industry is expected to grow by 2018. The growth will be characterized by the growing demand for packaging coatings from the packaging industry because of the increased demand for plastic packaging from the food and beverage industry.

Suppliers of raw materials determine the prices of raw materials, which in turn has a negative impact on the growth of the packaging market, thereby increasing the price of packaging coatings.

Here is an rather old press release form VTT on the topic :

The ALD thin coating considerably reduces the need for aluminium in packaging solutions


VTT Technical Research Centre of Finland has developed an environmentally-friendly packaging coating solution. Especially suitable for food and pharmaceutical packaging, the coating offers a new method for manufacturing fully recyclable, thin, light and air-tight packaging materials.

ALD Encapsulation technologies for flexible electronics

Here is an overview on encapsulation technologies for flexible electronics covering the main technologies and players. So just scroll down to the interesting part about ALD sating the main OEMs:
  • BENEQ
  • Lotus
  • Encapsulix
  • Synos (Veeco)
From a market perspective tehr is a forecast from IDTechEx Barrier Layers for Flexible Electronics 2015-2025: Technologies, Markets, ForecastsRead more at: http://www.idtechex.com/research/reports/barrier-layers-for-flexible-electronics-2015-2025-technologies-markets-forecasts-000409.asp



Barrier layer market forecasts in US$ million


Status of flexible encapsulation to enable flexible electronics


In 2020, flexible barrier manufacturing for flexible electronic devices such as displays will be a market worth more than US$184 million, according to IDTechEx Research. That equates to 3.8 million square meters of flexible barrier films for electronics.


Although multilayer approaches – usually organic and inorganic layers – have been the most popular solution for flexible encapsulation so far, there is significant development work with solutions based on single layer approaches such as flexible glass or atomic layer deposition (ALD) which could, in later years, capture part of the market. The table below, compiled by IDTechEx analysts shows some of the characteristics of flexible glass and ALD films as developers are looking to bring them to market.

[...]

Atomic layer deposition (ALD) present and future outlook/market share 

ALD is another flexible encapsulation technology receiving a lot of attention with several players currently developing solutions based on it. It seems like it is not a short-term solution, if it will ever be one as a stand-alone layer but ALD may be a solution in a multi-layer stack in combination with a sputtered or PECVD layer if it would be possible to find a good cost structure. Regarding the intrinsic properties of the material, ALD film deposited at low temperature (T<80 C) have a superior quality when tested at room temperature. A single ALD layer less-than 50 nm thick can perform better than thicker layers deposited by sputtering or PECVD.

However, the inherent stability of the films at higher temperature/humidity (e.g. 85C/85%RH) is a problem. If PE-CVD is used, ALD film stability improves, as well as for mixed oxides, but it is still an issue. A second problem comes with particles and substrates non-uniformity. Any defect may lead at an initial non-uniform nucleation that propagates into the growing film. Furthermore, loose particles on substrates may be partially covered, but because of the extreme thinness, the thin film does not have the mechanical strength to keep them in place under mechanical stress. Any mechanical stress leads to film fracture with consequent creation of an ingress path for moisture. That is why multilayer structures are necessary.

Deposition tools are in development from Lotus, Beneq, Encapsulix and others. Exploration at Samsung SDC with ALD films for TFE was very much advertised by Synos, but resulted in failure and any further evaluation was halted. ALD for barrier on foil has better results although there are doubts and hurdles in scaling up and reaching the deposition speed required for a cost effective process.




Thursday, August 20, 2015

ALD of single atom Pd on grapheme using a Arradiance Benchtop reactor


Here is an interesting report on the fabrication of single-atom Pd catalyst on graphene using ALD by researchers in Hefei, China. Pd ALD was carried out on a GEMSTAR-6TM Benchtop ALD from Arradiance at 150 °C using palladium hexafluoroacetylacetate (Pd(hfac)2) and formalin (37% HCHO and 15% CH3OH in aqueous solution).

Single-Atom Pd1/Graphene Catalyst Achieved by Atomic Layer Deposition: Remarkable Performance in Selective Hydrogenation of 1,3-Butadiene

Huan Yan, Hao Cheng, Hong Yi, Yue Lin, Tao Yao, Chunlei Wang, Junjie Li, Shiqiang Wei, and Junling Lu
Journal of the American Chemical Society
DOI: 10.1021/jacs.5b06485



We reported that atomically dispersed Pd on graphene can be fabricated using the atomic layer deposition technique. Aberration-corrected high-angle annular dark-field scanning transmission electron microscopy and X-ray absorption fine structure spectroscopy both confirmed that isolated Pd single atoms dominantly existed on the graphene support. In selective hydrogenation of 1,3-butadiene, the single-atom Pd1/graphene catalyst showed about 100% butenes selectivity at 95% conversion at a mild reaction condition of about 50 °C, which is likely due to the changes of 1,3-butadiene adsorption mode and enhanced steric effect on the isolated Pd atoms. More importantly, excellent durability against deactivation via either aggregation of metal atoms or carbonaceous deposits during a total 100 h of reaction time on stream was achieved. Therefore, the single-atom catalysts may open up more opportunities to optimize the activity, selectivity, and durability in selective hydrogenation reactions.

New precursors for Atomic Layer Deposition presented at AVS ALD 2015 Portland

Here is a very good review from AVS ALD 2015 in Portland with respect to some of the new ALD precursor chemistries presented and discussed during the meeting by the ALD leading research labs and ALD industry like Intel, Adeka, BASF anad others.

New Reagents For Atomic Layer Deposition

Tailored ALD precursors form atomically thin layers of metals, dielectrics, and other compounds

By Mitch Jacoby
Chemical & Engineering News, Volume 93 Issue 32 | pp. 54-55, Issue Date: August 17, 2015
One way to shrink the nanosized wires (cross sections shown here) that interconnect electronic circuit components is to replace the “thick” tantalum nitride-like film used today to encapsulate the copper core (left) with a thinner manganese silicate film made via ALD.

Depositing thin films of copper is one thing. Making the metal stay put is quite another. “Copper has a nasty habit of diffusing if it’s not encapsulated with a protective barrier,” Gordon said. Running electric current through the tiny wires that interconnect transistors and other circuitry causes copper atoms to start migrating out of the wires, which eventually can lead to chip failure.

Gordon thinks ALD can help solve this problem. His group has developed an ALD process for making manganese silicate, a material that prevents copper diffusion at a film thickness of less than 2 nm. The chemistry is based on a reaction between a manganese amidinate compound and a silanol with tert-butoxy groups or other organic ligands. Gordon noted that there are other non-ALD ways to make manganese silicate films. But the Harvard group’s ALD method offers greater control over deposition and higher uniformity in chemical composition.




Shinjita Acharya reported on a proprietary barium pyrrole compound developed by BASF that is compatible with low-temperature ALD. Acharya is a postdoctoral researcher working with Stanford University mechanical engineer Friedrich B. Prinz, whose group is collaborating with BASF.


Tuesday, August 18, 2015

Picosun see increased sale for PICOPLATFORM™ cluster tools for high volume ALD manufacturing

According to a recent press release from Picosun Oy, leading supplier of Atomic Layer Deposition (ALD) thin film coating solutions for industrial production, delivers several new PICOPLATFORM™ cluster tools for high volume ALD manufacturing in semiconductor industries.



The PICOPLATFORM™ cluster systems have quickly become a favorite of Picosun's production customers. The systems, designed to accommodate wafers up to 300 mm size, comprise Picosun's state-of-the-art technological solutions and unparalleled ALD knowhow with the most extensive selection of vacuum loading solutions for single wafer and batch processing. This makes the PICOPLATFORM™ cluster tools ideal for e.g. III-V semiconductor, LED, and MEMS device manufacturing. The now sold PICOPLATFORM™ cluster tools will be installed in the production facilities of leading, multi-billion semiconductor device manufacturers in USA and Asia.



"The PICOPLATFORM™ product family is one of the strongholds of our industrial ALD technology. The repeat sales of these systems to our core markets such as microelectronic component and sensor manufacturing prove again the unmatched level of our ALD equipment design and our excellence in hardware integration, software, and process development. Combined with our leading selection of automated batch handling systems designed to fulfill the strictest requirements of the semiconductor industries, the PICOPLATFORM™ cluster systems offer the most comprehensive turn-key solutions to fast, productive, and cost-efficient ALD manufacturing," states Mr. Juhana Kostamo, Managing Director of Picosun.


Mr. Juhana Kostamo, Managing Director of Picosun.

Sunday, August 16, 2015

HERALD Workshop - ALD for Batteries, Gent, Belgium September 15-16

Workshop - ALD for Batteries

Co-organiser 

 cost logoHerald

Program

Tuesday, September 15, 2015 - Het Pand
09:30   Registration
10:00   Philippe Vereecken, IMECInvited Talk - Conformal deposition for 3D thin-film batteries: requirements and opportunities
10:45   Sebastien Moitzheim, IMECSpatial ALD of TiO2 for 3D thin-film batteries
11:15   Felix Mattelaer, Ghent University
ALD of Manganese oxides
11:45   Mikko Ritala, University of HelsinkiPreparation of lithium containing ternary oxides by solid state reaction of atomic layer deposited thin films
12:15   Lunch
13:30   Maarit Karppinen, Aalto University
Invited Talk 
14:15   Kevin van de Kerckhove, Ghent University
Molecular Layer Deposition of Titanicone
14:45   Miia Mäntymäki, University of Helsinki
15:15   Break
15:45   Adriana Creatore, TU Eindhoven
Invited Talk - Plasma ALD of Li-based materials
16:30   Thomas Dobbelaere, Ghent University
ALD of phosphates
17:00   Closing remarks

Wednesday, September 16, 2015 - Dept. Solid State Sciences

09:00   Ola Nilsen, University of Oslo
Invited Talk - ALD of Li-containing compounds
09:45   Amund Ruud, University of Oslo
High rate iron phosphates by ALD
10:15   Break
10:45   Ruud Van Ommen, TU Delft
ALD on battery particles
11:30   Geert Rampelberg, Ghent University
Thermal and plasma enhanced ALD on powders
12:00   Lunch
13:30   Tour of the Lab 

Registration

Participation is free of charge (limited number of places):https://webapps.ugent.be/eventManager/events/cocoonworkshopbatteries
Registration will be possible from 1 July 2015. Please register before 1 September 2015. 

Location

The workshop takes place at Het Pand (on Tuesday) and the department of Solid State Sciences (on Wednesday).

Tuesday, September 15, 2015

Het Pand, Ghent University
Onderbergen 1
9000 Gent, Belgium
By public transport:
  • From station Gent Sint-Pieters:Tram 1 (every 6 minutes) or tram 24 (every 20 minutes). Exit at Korenmarkt.
  • From Gent ZuidTram 4 (every 6 minutes), tram 24 (every 20 minutes) or bus 17 (every 30 minutes). Exit at Korenmarkt.
By Car:
  • Follow the parking signage to parking P7 Sint-Michiels. The parking is located at 50 meter from Het Pand. Take the exit Onderbergen and you come out in the wilderoosstraat, opposite Het Pand.
  • An alternative parking is P8 Ramen. From here it's about 5 minutes on foot to Het Pand.

Wednesday, September 16, 2015

Department of Solid State Sciences, Ghent University
Krijgslaan 281 - Building S1
9000 Gent, Belgium

Contact

Department of Solid State Sciences, Ghent University
Krijgslaan 281 - Building S1
9000 Gent, Belgium
Phone: +32 (0)9 264 43 54
Fax: +32 (0)9 264 49 96

Flyer

Sponsors

 iwt logo
FWO



Saturday, August 15, 2015

Nantero closes additional funding this summer for NRAM and adds ex TSMC Executive to the Board

I have noticed that Carbon Nanotube integration into semiconductor processing as an active device or sensor material has moved into a more mature phase lately. One example is the company Nantero who earlier this summer announced closing a $31.5 million Series E financing round from new and existing investors now adds Previous TSMC Executive Dr. Shang-Yi Chiang to its Advisory Board




According to the press relase Dr. Chiang was previously an Executive Vice President, Co-Chief Operating Officer and Senior Vice President of R&D at TSMC before announcing his retirement in October 2013. 


NRAM is based on forming a film of Carbon Nanotubes (CNT) that are deposited onto a standard silicon substrate that contains an underlying cell select device and array lines (typically transistors or diodes) that interface the NRAM switch. The NRAM acts as a resistive non-volatile random access memory NVRAM and can be placed in two or more resistive modes depending on the resistive state of the CNT fabric. When the CNTs are not in contact the resistance state of the fabric is high and represents a “0” state (see Figure below). When the CNTs are brought into contact, the resistance state of the fabric is low and represents a “1” state. (www.nantero.com)



“Nantero continues to attract the industry’s brightest and most innovative minds both internally and on an advisory basis,” said Greg Schmergel, Co-Founder, CEO and President of Nantero. “This added expertise will be instrumental in helping the company deliver a new generation of memory with the unique properties of DRAM-like speed, nonvolatility, and ultra-high-densities, for both standalone and embedded use.”



Additional information at the www.nantero.com  tells us: NRAM can enable a variety of exciting new features and products in both consumer and enterprise electronics. This new super-fast, ultra-high density memory can replace both DRAM and flash in a single chip, or enable new applications as a storage class memory, while also delivering the low power, high speed, reliability, and endurance needed to drive the next wave of electronics innovation.

  • NRAM Advantages: Extremely Low Power, Super-Fast, High Density, High Endurance
  • Limitless Scalability: Can Scale Below 5 nm to Enable Terabits of Memory in the Future
  • Proven Technology: Successfully Used in Mass Production CMOS Fabs for Many Years
  • Exciting Future Products: Virtual Screens, Next-Generation Enterprise Systems, Rolled-up Tablets, Instant-On Laptops, 3D Video Phones and other products needing huge amounts of fast memory
Here is also a video where the founders of Nantero tells us more about the revolutionary emerging memory technology they are commercializing - claiming scaling down to 5 nm and "unlimited storage capacity" for our future electronic gizmos.
 

Zinc oxide ALD coated alpha-phase ferric oxide particles for water purification

Zinc oxide-coated alpha-phase ferric oxide particles can be used for water purification purposes. This has been demonstrated by Giuliana Impellizzeri and co-workers CNR-IMM (Institute for Microelectronics and Microsystems) Catania, Italy, using a a Picosun R-200 advanced atomic layer deposition (ALD) system to deposit polycrystalline ZnO on alpha-phase ferric oxide (α-Fe2O3) nanoparticles (which were purchased from Sigma-Aldrich).


(a) Transmission electron microscopy images of zinc oxide-coated ferric oxide (Fe2O3) core-shell nanostructures. (b) High magnification image of a single core-shell nanoparticle. (c) High magnification image of a single uncoated Fe2O3nanoparticle. (13 August 2015, SPIE Newsroom. DOI: 10.1117/2.1201508.006078)

Core-shell nanostructures with promising photocatalytic characteristics

Giuliana Impellizzeri, Alessandro Di Mauro, Guillaume Amiard, Simona Boninelli and Vittorio Privitera


One of the most pervasive problems afflicting people today is inadequate access to clean water and sanitation. By 2030—as estimated by the United Nations—47% of the world's population will live in areas with high ‘water stress’ levels. Removing pathogens, chemicals, and other contaminants to produce satisfactory water supplies (i.e., with high throughput and at a low cost) is thus a growing challenge around the world. It is thought, however, that nanotechnology can be used to improve water purification techniques. It should also be possible to reduce the cost of prohibitively expensive water cleaning methods, which are currently unaffordable for many developing countries

Thursday, August 13, 2015

UPDATE: Symposium of the ALD Lab Dresden at SEMICON Europa October 6


Workshop on Atomic Layer Processing

Date: 6 October 2015
Time: 09:00 - 15:10
Location: Room Columbus, Messe Dresden
 
Organized by:

Looking back in the evolution of IC technology, it can be stated that from the 0.25µm node on, the key for further shrinking was planarization. This was enabled by the introduction of an emerging technology, the CMP. Since the 28 nm node it can be observed that, at least in the front end of line, starting with the FinFET and possibly continuing with the surrounding gate transistor, the required structures become more and more three dimensional, while the thickness of the associated films become extremely thin (gate dielectric, work function layer, barrier layer). The emerging technology enabling this is Atomic Layer Deposition (ALD).

Dresden am Abend mit Dresden Fotografie, I love Dresden und Unser Sachsen (Facebook, by Jens Heike)


ALD is based on self limiting heterogeneous chemical reactions which allow the fabrication of very thin (sub nm to few nm) layers with high accuracy (basically atomic layer precision), extremely well conformality and intrinsically high uniformity even in batch tools. Although the scientific background of ALD goes far back in history, ALD for semiconductor processing can still be considered as a novel technology. 

Progress in ALD is associated with tools, but even more with specifically designed precursors which need to be applied at optimum conditions of the gas feed system, the process chamber and the substrate condition. Our workshop, which is organized by the “ALD Lab Dresden” wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology.

The self limiting behavior of the heterogeneous reaction can however also be used to remove material from a substrate in an extremely controlled fashion of atomic dimensions. This process, that can be viewed as the complement to ALD is called Atomic Layer Etching (ALEt). As for ALD also ALEt can be a game changer for the semiconductor industry utilizing surface functionalization and modification similar to those we know in ALD and resulting in a chemistry-based material removal on the same atomic level as in ALD – A layer by layer removal. 

In general scaling is thought about to be a shrink in the critical dimensions (CD, pitch) in the latheral xy-plane, today scaling is also taking place in the z-direction, i.e.,  a reduction in the thickness of the film stacks like the High-k Metal Gate stack. This has resulted in that the thicknesses of the film stacks of devices today are now routinely approaching <20 Å nm providing an opportunity for slow and precise etching by ALEt.

We hope that this new part of the ALD Lab Dresden Symposium will allow for increased scientific and technological discussion for enabling ALEt and learning from ALD and related plasma based processing techniques like Plasma CVD and Reactive Ion Etching.
 

AGENDA


09:00WelcomeOrganized by:



Supported by:

cost logo



Prof. Johann W. Bartha, TU Dresden
 
09:15In situ monitoring of Atomic Layer Deposition in porous materials
Martin Knaut, TU Dresden
 
09:40Passivation of MEMS by Atomic Layer Deposition
Matthias Schwille, Robert Bosch
 
10:05TBD
Colin Georgi, TU Chemnitz/FhG ENAS
 
10:30TBD
Stefan Riedel / Sascha Bönhardt, FhG IPMS-CNT
 
10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
 Mario Krug, FhG IKTS
 
11:20ALD for solar cell application
 Ingo Dirnstorfer, NaMLab
 
11:45Plasma enhanced ALD process for TiO2- and WO3- films
Alexander Strobel, FH Zwickau
 
12:10Lunch Break (Conversation, Networking, Finger food)
 
13:00Atomic Layer Etching
Jonas Sundqvist, Lund University
 
13:25Spatial Atomic Layer Deposition and Atomic Layer Etching
Prof. Fred Roozeboom, TU Eindhoven
 
13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?
Harm Knoops, Oxford Instruments/TU Eindhoven
 
14:15TBD
Stephan Wege, Plasway
 
14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation
Jacques Kools, Encapsulix
 
15:05Closing Remarks / Wrap Up
Prof. Johann W. Bartha, TU Dresden
 
15:10End
 

Registration

No pre-registration required but you must register as a visitor, in order to gain access to the venue:
 

Wednesday, August 12, 2015

Demand for 200 mm tools outstrips supply according to Applied Materials

According to David Lammers at Applied Materials (Nanochip COVER STORY: DEMAND FOR 200MM TOOLS OUTSTRIPS SUPPLY).

This must be a good opportunity for the ALD suppliers like Picosun, Oxford Instruments, BENEQ and Ultratech CNT to get into the game and supply ALD chambers for all kinds of More than Gordon Moore applications like MEMS, Capacitors and other devices and single layer applications. As far as I know you can´t buy new ASM Pulsar 200 mm chambers anymore so have to get a 300mm bridge tool and the other options you have are for sure 200 mm large batch furnaces from ASM or Tokyo Electron but those have cycle time penalty and high thermal budget and none of these have PEALD capability, which as reported here many times is booming in scientific activity and possibly also in production.


A wide variety of systems, ranging from smartphones and autos to wearables, rely on trailing-edge devices made on 200mm wafers. (Source: Applied Materials)


TSMC and its joint ventures own the most 200mm wafer fab capacity, while STMicroelectronics, a power in the MEMS sensor field, is the leading 150mm wafer processor. (Source: IC Insights)

Just to refresh everybody's memory, eher is a table summarizing many of those alternatives for 200 mm ALD suppliers published by ALDPulse some time ago.




Ultratech Cambridge NanoTech announced that the 1000th paper using one of their ALD tools

I previously posted this paper (here) and it turns out that this is as announced today by Ultratech Cambridge NanoTech, the 1000th peer-reviewed paper written on its ALD systems was published in July 2015 inChemistry of Materials



The paper entitled "Atomic Layer Deposition of the Solid Electrolyte LiPON" was authored by Alexander Kozen, Ph.D, a member of the Nanostructures for Electrical Energy Storage (NEES) group at the University of Maryland. This milestone figure underscores the fact that today, almost one-fifth of the total peer-reviewed ALD publications worldwide, since the founding of the company in 2003, have been written based on using Ultratech-CNT systems (based on Web of Science analysis). 



University of Maryland Professor and principal investigator at the Energy Frontier Research Center (EFRC) Gary Rubloff said, "The performance and flexibility of our Ultratech Fiji systems have driven our group's nano research since 2011. The role played by these systems has been critical in many of the advances made in Nanostructures for Electrical Energy Storage (NEES)--our DOE, Energy Frontier Research Center. The research undertaken has involved a variety of collaborations across the Center to exploit ALD films as cathode, anode, current collector, solid electrolyte, and passivation/stabilization layers distributed as highly conformal, high quality layers on 3-D structures in the most demanding nano-geometries. As part of our most recent work, we have just developed the first reported ALD process for lithium phosphorous oxy-nitride (LiPON), a well-known, solid-state electrolyte for safe batteries. Through the use of real-time, in-situ ellipsometry, the process was optimized in a systematic fashion. ALD allows us to grow very thin LiPON layers that we are applying to passivation of high-energy lithium anodes as well as to solid-state batteries."


Ultratech-CNT Vice President of Research and Engineering Ganesh Sundaram, Ph.D. said, "While the traditional gauge of system productivity has focused on metrics such as wafer output, we have chosen to concentrate on creating products which motivate and enable intellectual output. The 1000th paper milestone attests to the fact that the Ultratech-CNT ALD systems are at the forefront for generating high quality, and strongly-cited research in this fast growing field. Furthermore, the large library of research papers based on our systems also provides substantial benefits to new researchers entering the field as they will be able to take advantage of the solid foundation of published research that underpins these ALD systems."



Dr. Kozen is part of The Rubloff Group at the University of Maryland where Professor Gary Rubloff heads the Nanostructures for Electrical Energy Storage (NEES), Energy Frontier Research Center (EFRC), a program of the Department of Energy (DOE). The paper was published in Chemistry of Materials (DOI: 10.1021/acs.chemmater.5b01654).

BALD 2015 in Tartu LATE-NEWS ABSTRACT SUBMISSION FOR POSTER PRESENTATIONS.

LATE-NEWS ABSTRACT SUBMISSION FOR POSTER PRESENTATIONS.
We are pleased to announce that a limited number of late-news poster presentations  can be included into the program of the 13th International Baltic Conference on Atomic Layer Deposition. 



The abstract submission deadline is August 17, 2015.
Please find here the call for late-news abstracts.
Please use the online form below for uploading your abstract. Guidlines for formation of the abstract can be found from here. In addition to the abstract file all the graphics should be also uploaded separately via online form to ensure the good print quality.

Abstract submission rules:
  • Abstract must be submitted in word and pdf format, using the guidelines that can be found from here.
  • In order to ensure sufficient print quality, the figure(s) should be uploaded as separate files (TIFF for halftone images and PDF for line drawings) in addition to MS Word and PDF files of the abstract with embedded figure(s) and figure caption(s). File size 300-700KB.
  • One Abstract can include up to two pictures or graphics.
  • Up to two poster presentations per Full Registration can be accepted.
  • One Presentation per Student Registration can be accepted.

Rice U. discovery may boost ReRAM memory technology

My favorite high-k metal oxide Ta2O5 is used again for a resistive RAM memory - this time with my least favorite material - Grrrraphene. Just can´t stand the hype I guess. Anyhow considering recent developments in cross bar Memory cell technology by Intel and Micron this could prove to be a future prospect.


A schematic shows the layered structure of tantalum oxide, multilayer graphene and platinum used for a new type of memory developed at Rice University. The memory device overcomes crosstalk problems that cause read errors in other devices. 
(Tour Group/Rice University)

PUBLIC RELEASE: 10-AUG-2015Rice U. discovery may boost memory technology
Rice University scientists make tantalum oxide practical for high-density devices


Scientists at Rice University have created a solid-state memory technology that allows for high-density storage with a minimum incidence of computer errors.

The memories are based on tantalum oxide, a common insulator in electronics. Applying voltage to a 250-nanometer-thick sandwich of graphene, tantalum, nanoporous tantalum oxide and platinum creates addressable bits where the layers meet. Control voltages that shift oxygen ions and vacancies switch the bits between ones and zeroes.

The discovery by the Rice lab of chemist James Tour could allow for crossbar array memories that store up to 162 gigabits, much higher than other oxide-based memory systems under investigation by scientists. (Eight bits equal one byte; a 162-gigabit unit would store about 20 gigabytes of information.)

Details appear online in the American Chemical Society journal Nano Letters. More details can be found here: http://www.eurekalert.org/pub_releases/2015-08/ru-rud081015.php

Applications Engineer - ALD at Oxford Instruments’ Plasma Technology

Applications Engineer - ALD, Yatton, Bristol

 

 

Oxford Instruments’ Plasma Technology business is looking for an Applications Engineer to play a key role in the Atomic Layer Deposition (ALD) team by developing processes within design boundaries and timescales. In this role you will process and demonstrate customer samples, liaise with customers, support system acceptances and provide customer training both in the UK and overseas. Ideally you will have a background in chemistry and experience of the semiconductor industry, and/or chemical engineering experience.

The Role

Key responsibilities of the role will include (but not be limited to):
  • To push the performance boundaries of existing technology to process and demonstrate samples. 
  • To contribute to the process development for the ALD project. Liaise with all members of the project team and interpret their requirements into sample processing requirements.
  • Analyse the results of the test samples and produce technical reports.
  • Develop an excellent working knowledge of the technology to facilitate fault finding and root cause analysis on processing results or equipment performance.
  • Carry out customer training both at Plasma Technology and at customer sites.

The Person

A relevant science or engineering degree. Chemistry or Chemical engineering would be an advantage. Ideally, experience of the semiconductor industry and/or chemical engineering experience. Exposure to atomic layer deposition or reactive ion/high density plasma etching processes an advantage.
  • Nanotechnology measuring skills (e.g. SEMS, Nanospecs, Ellipsometry).
  • MOCVD (metal organic chemical vapour deposition).
  • PECVD (plasma enhanced chemical vapour deposition).
  • ALD (atomic layer deposition).
  • Self-starting, diligent and enthusiastic. A professional approach to working independently and managing your own time.
  • Highly innovative and good lateral thinking skills.
  • Focused on excellent customer service.
  • Good diagnostic skills and problem solving abilities. Positive and enthusiastic attitude to technical challenges, and flexibility to cope effectively with changes to specifications or priorities.
  • Excellent written and oral communication. Able to articulate, present and report on technical or complex issues clearly and succinctly.

Business Overview

Oxford Instruments Plasma Technology provides a range of high performance, flexible tools to semiconductor processing customers involved in research and development, and production. Oxford Instruments plc is a global company with manufacturing facilities, offices and service centres, worldwide.

To apply, please submit your CV to caroline.read@oxinst.com

www.oxford-instruments.com/businesses/nanotechnology/plasma-technology

Follow us at www.twitter.com/oxinst or www.facebook.com/oxinst

Note to recruitment agencies: Oxford Instruments does not accept agency CV’s. Please do not forward details to our jobs alias, Oxford Instruments employees or any other company location. Oxford Instruments is not responsible for any fees related to unsolicited CV’s