Showing posts with label ALD2016. Show all posts
Showing posts with label ALD2016. Show all posts

Tuesday, May 24, 2016

Abstract Poster Printing for ALD2016 in Dublin

Thank you to all that have submitted abstracts. Details regarding the format for printing can be found here: Poster Printing Instructions for ALD2016. Please note that all authors are required to print their own posters and the document includes details of a local company that is located close to the Convention Centre. 

Conference Registration: Please note if you haven’t already registered place/s for the conference and this must be completed separately here.

Friday, May 20, 2016

ALD on the road to Dublin 2016


ALD on the road to Dublin


ALD2016 attendance at CMC 2016

CMC Conference
Two of the speakers, Dr. S.I. Lee (CTO Veeco) presenting “Low Temp Spatial ALD for Multiple Patterning Materials ” and Dr. Jean Marc Girard (CTO Air Liquide) presenting “ALD Precursor Development Challenges for HVM” as well as being part of the conference committee. There will be an opportunity to meet both gentlemen ALD 2016 in Dublin.
Two of the speakers, Dr. S.I. Lee (CTO Veeco) presenting Low Temp Spatial ALD for Multiple Patterning Materials and Dr. Jean Marc Girard (CTO
Hiromichi Enami form Hitachi High-Tech will be attending ALD 2016 and ALE 2016. Here he is asking CMC Co-Chair Ed Korczynski if he plan to come to Dublin.
Hiromichi Enami

Part of Team ZyALD(TM): Ravi Laxman (Linde), Jonas Sundqvist, Ashotosh Misra (Air Liquide) and Jean Marc Girard (Air Liquide). All of whom will come to Dublin!
Team ZyALD(TM)
Rasirc presenting “Hydrazine as a Low Temperature Nitride Source: Materials Challenges for High Volume Manufacturing ” at the event, posing Dan Alvarez CTO and Jeff Spiegelman President and Founder. Bothe gentlemen will attend, present and exhibit at ALD2016!
RASIRC
Angel Yanguas-Gil, a staff scientist at Argonne National Laboratory‘s Energy Systems Division, and Jonas Sundqvist happy to meet again at ALD 2016 in Dublin!
Angel Yangaus Gil
Jonas Sundqvist, Co-Chair of ALD2016 is attending the Critical Materials Conference (CMC 2016) on the 5th and 6th May in Hillsboro, Oregon.  Check out his ALD2016 polo!

Jonas as CMC conference

Friday, May 13, 2016

HERALD White paper on atomic-level processing

Right now there is a brief window of opportunity to feed in to consultations on the future topics for H2020 funding - in particular, the 2018-2020 workplan for NMPB. Some academic and industrial members of HERALD have therefore put together the enclosed position document on urgent research directions, under the overall heading of 'atomic-level processing'.
The document is public. If you feel it is worthwhile, please circulate it to your colleagues, national/regional funding agencies and in particular to your national contact points and delegates on European committees and technology platforms.

It is hoped that this document will evolve into a "Roadmap for Atomic Layer Processing" over the coming months and years. So please feel free to suggest corrections and improvements. Remember that this is a high-level document aimed at non-experts, not a scientific treatise.
As the next step, we are organising an industry panel discussion on this topic on the morning of Wednesday 27th July at the upcoming ALD2016 conference in Ireland. 

Friday, April 29, 2016

ALD on the road to Dublin - Visit at the Convention Centre Dublin



ALD and ALE 2016 Site Visit at the Convention Centre Dublin

ALD 2016 Conference Co-Chair Simon Elliott and ALE Chair Bert Ellingboe, along with Happening Conferences and Events, met on Thursday 28 April at the CCD in Dublin to finalise plans for the conference.  It is going to be an exciting 4 days in July and we can’t wait to welcome everyone to Dublin!


Bert Ellingboe Dublin City University , Simon Elliott, Tyndall National Institute & Anne Doherty, Happening Conferences and Events Launching the 16th International Conference on Atomic Layer Deposition incorporating the 3rd International Workshop on Atomic Layer Etching"
L - R Bert Ellingboe Dublin City University & Simon Elliott, Tyndall National Institute launching the 16th International Conference on Atomic Layer Deposition incorporating the 3rd International Workshop on Atomic Layer Etching

Thursday, April 28, 2016

UPDATE! Tutorials on Atomic-Layer-Processing – Sunday 24th July ALD2016 Dublin

Tutorial on Atomic-Layer-Processing – Sunday 24th July

Time  Speaker  Organisation  Title 
13:00 Fred Roozeboom TU Eindhoven, The Netherlands Processing for 3D-IC Technologies
13:30 Annelies Delabie IMEC, Belgium Atomic layer processing of 2D materials for beyond CMOS applications
14:00 Break
14:15 Sumit Agarwal Colorado School of Mines USA Plasma Physics and Diagnostics
14:45 Stephan Wege Plasway GmbH, Germany Plasma Processing Reactor Design
15:15 Keren Kanarik Lam Research, USA Overview of Atomic Layer Etching
15:45 Break
16:00 Sean Barry Carleton University, Canada ALD Precursor Design & Synthesis
16:30 Massimo Tallarida Alba, Spain Characterization of ALD processes and Materials using Synchrotron Light
17:00 Coaches to Guinness Storehouse for Welcome Reception

Wednesday, April 6, 2016

Beneq to present the most transparent Display and Spatial sheet-to-sheet ALD at ALD2016

Beneq has announced that they are to present the most transparent Display and Spatial sheet-to-sheet ALD at ALD2016. In three presentations at the event they will present the following :
  • Development of the world's most transparent display - enabled by ALD
  • Large area sheet-to-sheet spatial ALD system for high-throughput coating applications
  • ALD based 3D NIR filter and refractive index control for Rugate filters
Read the full story at the Beneq Blog here: http://beneq.com/blog/201604/platinum-presentations.html



Sunday, April 3, 2016

UPDATE: ALD 2016 Dublin Speakers and Conference Programme



The conference programme for ALD 2016 has been updated with full information on Tutorials and Invited speakers!

Conference Timings:

Sunday 24 July:
Tutorial runs 13:00-17:00 in Convention Centre.  Welcome reception that evening in Guinness Storehouse.
Monday 25 July – Wednesday 27 July:
ALD2016 Conference will open at 8:15 in Convention Centre and closes at 17:45 on Wednesday 27 July followed by a gala dinner on Wednesday evening.



Conference Programme:

Tutorial on Atomic-Layer-Processing, Sunday 24th July:

Click on the speaker name to view their profile:
Speaker Organisation Presentation Title
Sumit Agarwal Colorado School of Mines USA Plasma Physics and Diagnostics
Keren Kanarik Lam Research, USA Overview of Atomic Layer Etching
Fred Roozeboom TU Eindhoven, The Netherlands Processing for 3D-IC Technologies
Sean Barry Carleton University, Canada ALD Precursor Design & Synthesis
Massimo Tallarida Alba, Spain, Characterization of ALD processes and Materials using Synchrotron Light
Annelies Delabie IMEC, Belgium Atomic layer processing of 2D materials for beyond CMOS applications
Stephan Wege Plasway GmbH, Germany Plasma Processing Reactor Design

Invited speakers:

Speaker  Organisation  Presentation title
Raymond Adomaitis University of Maryland Reaction Network Analysis for ALD Processes
Robert Clark TEL America
Byung Joon Choi Seoul National University of Science and Technology Multi-layered selector and switch devices enabled by atomic layer deposition for crosspoint memory
Mike Cooke Oxford Instruments
Jolien Dendooven University of Ghent Synchrotron-based characterization of Pt ALD
Michael Gros-Jean STMicroelectronics
Joseph Hupp Northwestern University
Ying-Bing Jiang University of New Mexico / Angstrom Thin Film Technologies LLC, USA
John Langan Air Products
Anatollii Malygin St Petersburg State Technological Institute
Yongfeng Mei Fudan University
Lars Samuelson Lund University Nanowire-based Technologies for Electronics, LEDs and Solar-cells
Further speakers, profiles and the conference programme will be published soon.  Please check back for information.

Wednesday, March 2, 2016

March! 1 month left to avail of EARLY BIRD offer for ALD2016 in Dublin.

March! 1 month left to avail of EARLY BIRD offer for #ALD2016 in #Dublin.  View speaker line up & book places here - http://ald2016.com/


Welcome to the 16th Atomic Layer Deposition Conference


The 16th International Conference on Atomic Layer Deposition (ALD 2016) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films. In every year since 2001, the conference has been held alternately in United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will incorporate the Atomic Layer Etching 2016 Workshop, so that delegates at the two events can interact freely. The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland.

Wednesday, February 10, 2016

UPDATE - Speakers for ALD2016, 24-27 July Dublin, Ireland


We are delighted to announce the first speakers and tutorials for ALD 2016:

  • Ray Adomaitis, University of Maryland
  • Sumit Agarwal, Colorado School of Mines, USA
  • Sean Barry, Carleton University, Canada
  • Rob Clark, TEL America
  • Mike Cooke, Oxford Instruments
  • Annelies Delabie, IMEC
  • Jolien Dendooven, University of Ghent
  • Mickael Gross-Jean, ST Microelectronics
  • Joseph Hupp, Northwestern University
  • Ying-Bing, Jiang, University of New Mexico
  • Byung Joon Choi, Seoul National University of Science and Technology
  • Keren Kanarik,  Lam Research, USA
  • John Langan,  Air Products / Versum Materials
  • Anatoly Malygin,  St Petersburg State Technological Institute
  • Fred Roozeboom, TU Eindhoven, The Netherlands
  • Lars Samuelson, Lund University
  • Massimo Tallarida,  Cells Alba, Spain
  • Stephan Wege, Plasway GmbH, Germany

The Convention Centre Dublin was developed to provide a world-class conference venue in the heart of Ireland’s capital city. Located just 20 minutes from the airport in Dublin’s Docklands, the finance and technology hub of the city, The CCD is ideally positioned to entice the international business tourism market. (See more at: http://www.theccd.ie/about-us#sthash.dV7v11eX.dpuf)
  Further speakers, profiles and the conference programme will be published soon.  Please check back for information.

http://ald2016.com/programme/


Wednesday, February 3, 2016

ALD History Blog: VPHA abstract to ALD 2016 Ireland - DL Feb 7

ALD History Blog: VPHA abstract to ALD 2016 Ireland - DL Feb 7: The webpage of ALD 2016 in Dublin, Ireland, July 24-27, http://ald2016.com/ has been updated and abstract submission is open.

From VPHA, there will be one abstract to ALD 2016 (Item #9 in the Publication Plan). The contents of the presentation will be a summary of the historical ALD papers and a recommended reading list, created together by the VPHA group, based on the contents of the ALD-history-evolving-file. All those will be listed as authors of the presentation, who have participated in the voluntary VPHA reading and left at least one comment in the ALD-history-evolving-file by the time of sending the abstract. We have at the moment 41 co-authors from 12 countries (Poland joined recently).

The deadline of ALD 2016 is February 14. The VPHA internal deadline should be somewhat earlier; let us make this one week. If you'd like to join the VPHA abstract as an author, please make your first comment in the ALD-history-evolving-file no later than on February 7, 2016. People can of course join the voluntary VPHA reading later than this, too,only then their name will not appear in the ALD 2016 abstract.

Saturday, January 23, 2016

AVS ALE 2016 - Call for Papers, Dublin, July 24-25th

ALE 2016 - Call for Papers!

In conjunction with ALD 2016 in Dublin, Ireland, the AVS Plasma Science and Technology and Thin Film Divisions will be hosting the 3rd workshop on Atomic Layer Etching. 

Deadline: March 18, 2016 : http://ald2016.com/atomic-layer-etching-workshop-overview/



Plenary Speaker

•Ankur Agarwal (Applied Materials)

Invited Speakers
• Steven George, University of Colorado, Boulder
• Dennis van Dorp, IMEC
• Akira Koshiishi, Tokyo Electron
• Kazunori Shinoda, Hitachi Hi-Tech

ALE 2016 Workshop Overview

Extending Moore's law beyond the 10 nm node will increasingly rely on high precision processes employing new materials with high-quality surfaces. Atomic layer etching & atomic layer clean technology is a promising pathway to achieve these fundamental requirements.

In conjunction with ALD 2016 in Dublin, Ireland, the AVS Plasma Science and Technology and Thin Film Divisions will be hosting the 3rd workshop on Atomic Layer Etching. The 2nd ALE workshop was held in 2015, and attracted global participation, with nearly 280 attendees representing both academia and industry. The goals of the meeting are to provide research focus, report progress to-date, and foster collaboration to accelerate this unique capability. Latest findings are expected from experts at major universities, semiconductor manufacturers, and leading equipment suppliers. A broad range of topics are expected, including but not limited to:

• Surface chemical reactions
• Ion energy distributions and control
• Damage-free processes
• Modeling of processes and discharges
• Chemistry synthesis for 'reverse ALD'
• Interesting and new applications for ALE

Workshop Chairs

Bert Ellingboe, Dublin City University (albert.ellingboe@dcu.ie)

Sumit Agarwal, Colorado School of Mines (sagarwal@mines.edu) 


Thursday, January 21, 2016

AVS - Atomic Layer Etching Workshop 2016, 24th-25th July 2016, Dublin, Ireland

Extending Moore’s law beyond the 10nm node will increasingly rely on high precision processes employing new materials with high-quality surfaces. Atomic layer etching & atomic layer clean technology is a promising pathway to achieve these fundamental requirements.



In conjunction with ALD 2016 the AVS Plasma Science and Technology Division will be hosting a workshop on Atomic Layer Etching.  The goals of the meeting are to provide research focus, report progress to-date and foster collaboration to accelerate this unique capability. Latest findings are expected from experts at major universities, semiconductor manufacturers and leading equipment suppliers.

Details regarding the workshop programme will be announced soon.

You can register for ALD2016 (one day or three days, 25-27 July) and the Atomic Layer Etch workshop (one day, 25 July), as well as for the joint ALD/ALE tutorial (half-day, 24 July). As part of the registration process and for tracking purposes, we ask that you indicate your primary interest in Atomic Layer Deposition or Atomic Layer Etching.

Register here.

Monday, January 4, 2016

The Conference Registration for ALD2016 in Dublin is now Open!


Conference Registration - 16th International Conference on Atomic Layer Deposition (ALD 2016 Ireland) incorporating Atomic Layer Etching 2016 Workshop: http://ald2016.com/event-registration/
 
Early Registration: until 31 March 2016
Standard: 1 April to 17 June 2016
Late Registration: 18 June to 18 July 2016


 

 

Welcome to the 16th Atomic Layer Deposition Conference


The 16th International Conference on Atomic Layer Deposition (ALD 2016) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films.  In every year since 2001, the conference has been held alternately in United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will incorporate the Atomic Layer Etching 2016 Workshop, so that delegates at the two events can interact freely.  The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland.

Atomic Layer Deposition (ALD) is used to fabricate ultrathin and conformal thin film structures for many semiconductor and thin film device applications. A unique attribute of ALD is that it uses sequential self-limiting surface chemistry to achieve control of film growth in the monolayer or sub-monolayer thickness regime. ALD is receiving attention for its applications in leading-edge electronic technologies, advanced microsystems, displays, energy capture and storage, solid state lighting, biotechnologies and medical technologies. Indeed ALD is particularly advantageous for any advanced technology that requires control of film structure in the nanometer or sub-nanometer scale.
As in past conferences, the meeting will be preceded by one day of tutorials. An industry trade show will be held in conjunction with the conference, to act as common ground for academia and industry to meet and discuss the future applications of ALD. Extra opportunities for collaboration will be provided through working groups of the COST Action ‘Hooking together European research in atomic layer deposition (HERALD)’.

This conference offers an excellent opportunity to learn about the most recent R&D activities in ALD science and technology from researchers around the world. We look forward to your participation.
ALD 2016 Co-Chairs:

Simon Elliott, Tyndall National Institute , Ireland Jonas Sundqvist, Division of Solid State Physics, Lund University, Sweden
Simon Elliott
Tyndall National Institute,
Ireland
Jonas Sundqvist
Division of Solid State Physics,
Lund University, Sweden