Wednesday, June 1, 2016

ALD2016 Speakers and Conference Programme announced!

The conference programme for the The 16th International Conference on Atomic Layer Deposition, Dublin Ireland can now be viewed here.

Conference Timings:

Sunday 24 July:

Tutorial runs 13:00-17:00 in Convention Centre. Welcome reception that evening in Guinness Storehouse.

Monday 25 July – Wednesday 27 July:


ALD2016 Conference will open at 8:15 in Convention Centre and closes at 17:45 on Wednesday 27 July followed by a gala dinner on Wednesday evening.

Opening plenary invited talk by Prof. Lars Samuelson, NanoLund, Lund University Sweden followed by Prof. Anatolii Malygin, Saint-Petersburg State Institute of Technology, Russia and Ankur Agarwal, Applied Materials, USA.

ALD 2016 Conference Logo
The 16th International Conference on Atomic Layer Deposition (ALD 2016) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films. In every year since 2001, the conference has been held alternately in United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will incorporate the Atomic Layer Etching 2016 Workshop, so that delegates at the two events can interact freely. The conference will take place on 24-27 July 2016 at the Convention Centre Dublin, Ireland.

Atomic Layer Deposition (ALD) is used to fabricate ultrathin and conformal thin film structures for many semiconductor and thin film device applications. A unique attribute of ALD is that it uses sequential self-limiting surface chemistry to achieve control of film growth in the monolayer or sub-monolayer thickness regime. ALD is receiving attention for its applications in leading-edge electronic technologies, advanced microsystems, displays, energy capture and storage, solid state lighting, biotechnologies and medical technologies. Indeed ALD is particularly advantageous for any advanced technology that requires control of film structure in the nanometer or sub-nanometer scale.

As in past conferences, the meeting will be preceded by one day of tutorials. An industry trade show will be held in conjunction with the conference, to act as common ground for academia and industry to meet and discuss the future applications of ALD. Extra opportunities for collaboration will be provided through working groups of the COST Action ‘Hooking together European research in atomic layer deposition (HERALD)’.

This conference offers an excellent opportunity to learn about the most recent R&D activities in ALD science and technology from researchers around the world. We look forward to your participation.

ALD 2016 Co-Chairs:
Simon Elliott, Tyndall National Institute , Ireland Jonas Sundqvist, Division of Solid State Physics, Lund University, Sweden
Simon Elliott
Tyndall National Institute,
Ireland
Jonas Sundqvist
Division of Solid State Physics,
Lund University, Sweden

No comments:

Post a Comment