Showing posts sorted by date for query selective. Sort by relevance Show all posts
Showing posts sorted by date for query selective. Sort by relevance Show all posts

Tuesday, November 8, 2022

Recent ALD news on shared on Twitter #ALDep

Monday, September 26, 2022

AlixLabs proudly announce its Advisory Board

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the semiconductor manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture in high-volume semiconductor wafer fabrication and can open up a new path for a more sustainable mass production of electronic products. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently with more manageable wafer fab equipment investments.

The company is pleased to announce an Advisory Board with long-term semiconductor industry and business experts and academic leadership. In a statement from Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, he said, "Our Advisory Board adds a new level of engagement with the semiconductor industry and leading research centers needed to transfer the APS technology into high volume manufacturing. Besides strategic business decisions, we must build an ecosystem around our disruptive patterning technology. With their support, we will be able to deeply engage the semiconductor ecosystem on all levels and in all supply sectors, from materials and equipment suppliers to the wafer fabs and recognized R&D labs and institutes in this amazing industry".



Lita Shon-Roy – President/CEO and Founder of TECHCET—has worked throughout the semiconductor supply chain, leading strategy, business development, marketing, and sales for chip designers, equipment OEMs, and material suppliers for over 30 years. Her experience spans from process development of SRAMs to business development of gases & precursors. She developed new business opportunities for companies such as RASIRC/Matheson Gases, Air Products & Chemicals, and IPEC/Speedfam, and managed marketing and sales in companies such as Air Products/Schumacher, Brooktree/Rockwell, and Hughes Aircraft. Ms. Shon-Roy is considered one of the leading experts in electronic materials market analysis and business development. She has authored and co-authored 100’s of articles, reports, and texts on semiconductor process materials markets, trends, and worldwide supply chain issues. She holds an Masters Business Administration (MBA) from California State University, Dominguez Hills, a Master of Science (MS) in Electrical Engineering with a specialty in Solid State Physics from the University of Southern California, and a Bachelor of Science (BS) in Chemical Engineering from UC San Diego.

Prof. dr. Fred Roozeboom is emeritus/guest professor in the Inorganic Membranes group at the University of Twente and consultant to the high-tech industry. From 2007 until Dec. 2021 he was a part-time, full professor at TU Eindhoven in the group Plasma & Materials Processing, and from 2009-2021 he was Senior Technical Advisor at TNO Holst Centre, Eindhoven, aiming at new applications in Atomic Layer Deposition and Etching, area-selective ALD, Li-ion micro-batteries, and EUV optical lifetime. From Sept. 2021 - Sept. 2022 he was a Research Fellow at LionVolt, a start-up, working on pilot line production of 3D thin-film Li-batteries on metal foil. Fred is co-/author of >200 publications (h-index 42), 5 book chapters, 39 granted US patents, co-/editor of 51 conference proceedings on semiconductor & microsystems processing, and executive
editor of open access journal Atomic Layer Deposition. He was or is active in conference committees for the Materials Research Society, Electrochemical Society, American Vacuum Society, IEEE, DPS-Japan, and SEMI Europe Semiconductor Technology Programs Committee.

Dr Jacques Kools has over 35 years of experience in nanotechnology R&D, focusing on capital equipment and process for vacuum etch and deposition processes. He started his career at Philips Research, Eindhoven, The Netherlands, working on reactive ion beam and laser etch processes and magnetic materials. He worked in various roles in the semiconductor equipment industry in Silicon Valley, most recently as Vice President of Technology and Director of Strategic Marketing at Veeco Instruments (NASDAQ: VECO). His current position is CEO and founder of Encapsulix, a supplier of Atomic Layer Deposition ( ALD) equipment and process technology. Dr. Kools holds a Ph.D. from the Eindhoven University of Technology. He has published extensively with more than 100 refereed papers, including more than 10 invited reviews and more than 20 US patents (h index of 30 and i10 index of 60).

Sunday, September 4, 2022

3D Printing by ALD with Atlant 3D's Maksym Plakhotnyuk - ALD Stories

Episode 16 features Dr. Maksym Plakhotnyuk, the CEO and Founder of Atlant 3D Nanosystems. Atlant 3D produces the world's first reactor for direct writing of ALD - the ultimate area-selective ALD process. Their Nanofabricator tool will could be the enabling technology for on-demand printing of microelectronics. In this episode, Maksym tells Tyler about the company's origins, their deal with NASA to create a 0G ALD reactor and Maksym's own history as an entrepreneur. 

In this episode: 00:00 Introduction 01:53 Maksym's Background & Atlant 3D Roots 19:03 Atlant 3D Name Origins 22:00 Atlant 3D Microreactor 36:28 NASA Deal and 0G Reactor 

Follow Maksym and Atlant 3D on Twitter: @MPlakhotnyuk & @Atlant3d 

Check out the technology at www.atlant3d.com

Resolving the Heat of Trimethylaluminum and Water Atomic Layer Deposition Half-Reactions

Here is a new way that I have not seen before how to monitor the half-reactions in ALD by using The pyroelectric thin-film calorimeter. It offers submillisecond temporal resolution and resolves precursor delivery and reaction kinetics. Thank you, Riikka, for sharing on Twitter.
 
Resolving the Heat of Trimethylaluminum and Water Atomic Layer Deposition Half-Reactions
Ashley R. Bielinski, Ethan P. Kamphaus, Lei Cheng, and Alex B.F. Martinson*
J. Am. Chem. Soc. 2022, 144, 33, 15203–15210
Publication Date:August 9, 2022
https://doi.org/10.1021/jacs.2c05460

Atomic layer deposition (ALD) is a surface synthesis technique that is characterized by self-limiting reactions between gas-phase precursors and a solid substrate. Although ALD processes have been demonstrated that span the periodic table, a greater understanding of the surface chemistry that affords ALD is necessary to enable greater precision, including area- and site-selective growth. We offer new insight into the thermodynamics and kinetics of the trimethylaluminum (TMA) and H2O ALD half-reactions with calibrated and time-resolved in situ pyroelectric calorimetry. The half-reactions produce 3.46 and 2.76 eV/Al heat, respectively, which is greater than the heat predicted by computational models based on crystalline Al2O3 substrates and closely aligned with the heat predicted by standard heats of formation. The pyroelectric thin-film calorimeter offers submillisecond temporal resolution that uniquely and clearly resolves precursor delivery and reaction kinetics. Both half-reactions are observed to exhibit multiple kinetic rates, with average TMA half-reaction rates at least 2 orders of magnitude faster than the H2O half-reaction kinetics. Comparing the experimental heat with published computational literature and additional first-principles modeling highlights the need to refine our models and mechanistic understanding of even the most ubiquitous ALD reactions.



Wednesday, August 31, 2022

Webinar Atonarp’s Aston in-situ metrology solution for Spatial ALD

 

Register
Can’t attend the live webcast?  Register and we will send you a link to watch the recording at your convenience.

Overview:
 
Spatial ALD is emerging as a critical technology for the deposition of thin films for advanced memory and logic selective processing found in gate-all-around FETs, high aspect ratio contacts, DRAM capacitors, advanced NVM technology, and even self-aligned double patterning (SADP) lithography.  It has the promise of high throughput, highly conformal thin films using low temperature and low or no vacuum processing chambers.  However spatial ALD has challenges, gas mixing, platform rotation speed optimization, optimized gas purge flow, the variable concentration of reactant gases and safety considerations are some of the issues process engineers are working to optimize.  Atonarp’s Aston in-situ metrology solution will be reviewed and its key differentiations, being used by several spatial ALD OEMs to address these challenges, will be discussed.

What you’ll learn:
  • Spatial ALD advantages and challenges
     
  • Why speed with sensitivity and robustness matter in Spatial ALD metrology solutions
     
  • Aston Impact and Plasma metrology solutions and differentiation that is leading them to be used as key in-situ process control metrology in spatial ALD applications

Monday, June 27, 2022

ALD/CVD Precursor Markets – Burgeoning Applications

Advanced Logic and Memory Applications require more deposition materials.

San Diego, CA, June 27, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Total ALD/CVD precursor market grew 21% in 2021, reaching US$1.39 billion and is forecasted to grow 12% in 2022. The 2022 Precursor market will top US$1.56 billion due to strong industry growth overall, driven by higher production volumes of < 7nm logic devices and higher increased stacking and layers in 3DNAND devices. The transition to EUV lithography for DRAM fabrication will also result in opportunities for increased precursor revenues. More details on these market trends will be revelaed in TECHCET’s presentation given at the 2022 ALD Conference, starting this week in Ghent, Belgium, by Jonas Sundqvist, Ph.D., or can be found in TECHCET’s newly released Critical Materials Reports™ on ALD/CVD Metal Precursors and Dielectric Precursors.


“ALD and CVD are a materials and chemistry rich industry segment with major development efforts in place, with strong prospects for growth, and for the need of new materials”, states Jonas Sundqvist, Sr. Technology Analyst at TECHCET. “New manufacturing solutions designed to meet both cost and performance will rely on ALD precursor materials.”

New materials and related process technologies are being driven by changes in device design. For advanced logic, new precursors are required for transistors to form high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials. DRAM memory cells continue pushing for higher-κ capacitors. And advanced devices, especially logic, demand improved interconnect wiring, barriers, seed layers, selective via capping and encapsulation, insulators, as well as new and/or more dielectrics to support EUV and advanced ArFi photolithography.

Emerging challenges persist as a result of continued dimensional scaling addressed with materials, especially new materials deposited by ALD. Area selective deposition has been a trend in the past 5 years with a growing R&D community to implement this approach in future devices.

For device specific details on the ALD/CVD Precursor markets & segments get TECHCET’s newly released Critical Materials Report™ here: https://techcet.com/product-category/ald-cvd-precursors/

Wednesday, June 22, 2022

NCD supplied ALE and ASD equipment to Samsung Electronics Co., Ltd.

NCD has recently supplied ASD (Area Selective Deposition) equipment to Samsung Electronics Co., Ltd. Following ALE (Atomic Layer Etching).

This is the cluster system which consists of two process modules (PMs) and a wafer transfer module (TM) and applies a running program for process integration. In addition, it is equipped to process at high temperatures up to 500℃ and process with ozone and plasma for developing the next semiconductor devices.

ALE is able to etch a deposited layer by atomic scale as opposed to ALD and ASD can only deposit on the selective area not grow the whole area of substrates by ALD.

Today, lots of universities, institutes, and companies have actively been developing future high-tech and highly integrated devices using ALE and ASD processes.

NCD expects that the ALE/ASD system will contribute very much to the development of high-end semiconductor technology and is going to do all of the efforts to the best ALD equipment company with new challenges and continuous R&D.

<Lucida M200PL Series ALD System>



Wednesday, March 16, 2022

AVS ASD 2022 April 21-22 - Technical program

 

Technical Program
Reserve Hotel by March 25
We have arranged a special conference rate at the Westin St. Francis. Keep in mind that reserving a room in the conference hotel block is important because it helps ASD meet its financial commitments to the host city and retain lower registration fees as well as a high quality conference with the features and services you are accustomed too.

Due to limited space we recommend booking as early as possible to receive this special rate—please note that once the reserved/contracted room block is full, the room availability and rate are not guaranteed and may vary.

If your dates are not available, please contact Alberto Lamberti at 1-415-774-0122, alberto.lamberti@westin.com for help.
In an effort to help facilitate the progression of ASD techniques, the 6th Area Selective Deposition Workshop (ASD 2022) scheduled for April 21-22, 2022, in San Francisco will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges. Based on the success of the previous workshops, ASD 2022 will consist of two days of presentations by invited and contributing speakers, as well as a banquet reception and poster session.
Invited Speakers:

  • Keynote Speaker - Stacey Bent (Stanford University, USA), "Next Generation Nanopatterning Using Area Selective Deposition”
  • Cathleen Crudden (Queen's University, Canada), "N-heterocyclic Carbenes in Selective Area Deposition"
  • Jolien Dendooven (Ghent University, The Netherlands), "In-situ Studies of Nucleation Mechanisms during ALD of Platinum-Group Metals"
  • Damon Farmer (IBM Research, USA), "Inhibitor-Free Nucleation Inhibition of Superconductors"
  • Padma Gopalan (University of Wisconsin, USA), "Carbon Nanotube Selective Deposition"
  • Andrew Kummel (University of California San Diego), "Selective Atomic Layer Deposition of MoSiX"
  • Mikko Ritala (University of Helsinki, Finland), "Area-Selective Etching of Polymers, a Novel Approach to Self-Aligned Patterning of Thin Films"
  • Frances Ross (Massachusetts Institute of Technology, USA), "In Situ Electron Microscopy to Visualize Crystal Growth Mechanisms on Plain and Patterned Surfaces"
  • Bonggeun Shong (Hongik University, South Korea), "Theoretical Understanding on the Principles of Area-Selective Atomic Layer Deposition"
Topics:
This event is centered on showcasing developments across the whole spectrum of area-selective deposition. Thus, the Workshop will cover a wide range of topics including the following:

  • Near Term Technology Readiness (Scalable and Relevant ASD Processes for Use in Manufacturing)
  • Fundamentals of ASD (Defects, Growth Mechanisms and Inhibitor Chemistries)
  • Metrology Techniques for ASD
  • Emerging/Exploratory ASD Processes and Applications (e.g., Catalysis, Energy Generation and Storage)
Program Chair:
Rudy J. Wojtecki
IBM Almaden Research Center, USA
Questions? Contact rjwojtec@us.ibm.com

Saturday, March 5, 2022

The Emergence of Hydrazine (N2H4) in Semiconductor Applications

 

The Emergence of Hydrazine (N2H4) in Semiconductor Applications
by Jeffrey Spiegelman and Daniel Alvarez

 Purpose

Historically, metal-nitride MOCVD and ALD films have been fabricated with Ammonia (NH3).  However, lower thermal budgets and shrinking 3-dimensional structures are needed for next generation semiconductor devices.  These challenges have exposed limitations with ammonia which could be overcome by replacing ammonia with hydrazine (N2H4).  Purity of commercially available hydrazine has  delayed its adoption.  RASIRC Inc. has recently developed a new formulation of hydrazine called BRUTE® Hydrazine which is safer and meets purity requirements for semiconductor manufacturing. Prior to Brute Hydrazine, the body of technical data applicable to semiconductor processing was limited and scattered.  This paper provides an overview of the growing activity in the thin film use of Brute hydrazine as well as early references on laboratory grade hydrazine for historical completeness.


Increasing Need for More Reactive Nitrogen Sources

Emerging devices such Logic and Advanced Memory require high quality thin (5-20 Å) electrode and barrier films.  Difficult thermal budget constraints are now being placed on well-known materials such as SiNx, TiNx and TaNx.1-3  Deposition temperature limitations have dropped to 350°C and below while very low resistivity (<150 micro-ohm/cm) for TiN and TaN must still be achieved.   Although metal and nitride films grown using plasma assisted processes (PE-ALD) and (PE-CVD) at low temperatures exhibit enhanced properties, the damage induced by plasma on sensitive substrates is one of the common drawbacks,4,5 as well as inability to support HAR or three-dimensional structures like horizontal vias and deep trenches.

III-V Nitride devices require a more reactive nitrogen source to reduce deposition temperatures and increase compositional stability.6 Growth rates for InGaN films deposited with ammonia at reduced temperatures are prohibitively slow and grossly inefficient in ammonia usage. A more reactive nitrogen source can enable acceptable deposition rates at 500-650° C, where alloy stability is significantly increased and nitride source to precursor ratio can be reduced.

In addition to growing thin nitride films, hydrazine can also act as a reducing agent for several late transition-metals.  This work is highly relevant to the use of hydrazine as a surface cleaning agent as well as a potential adder for metal ALD.7



Figure 1: Low Temperature Thermal ALD growth rate with Hydrazine comparable to PEALD with Ammonia.

The following table provides primary references for the areas of hydrazine ALD/CVD relevant to Semiconductor device applications.  Additional relevant references on related films are also included.

 

Precursor and Temperature

Film

Reference

Al surface nitridation

200C-450C

AlN

Taylor et.al.  U.S. Patent 6465350, 2002

TMA MOCVD  300C-400C

AlN

Fujieda, S. et. al.   Adv. Func. Mat.  1996, 6(3), 127-134

TDEAA  150C-225C

AlN

Abdulagatov, A.I.  et. al. Russian Microelectronics, 2018, 47(2), 118–130.

 

TMA 175C-350C

AlN

Jung, Y.C.  et. al. Materials 2020, 13, 3387;

 https://doi:10.3390/ma13153387

 

TDMAA 225C-400C

AlN

Ueda, S.T. et. al.  Appl. Surf. Sci.  2021, 554, 149656

BCl3 ,  350C

BN

Wolf, S. et. al. Appl. Surf.  Sci.   2018, 439, 689–696

Surface Clean

200C

Cu

Hwang, S.M.  et. al. ECS Trans. 2019, 92, 265

 

Surface Clean 100C-300C

Cu, Co

Hwang, S.M. “Effect of Surface Cleaning Efficacy on Vapor-Phase Cleaning of Cu and Co Using Anhydrous N2H4AVS ALD/ALE 2021 Session: Area Selective ALD AS4-1

TMG, 400C-800C

GaN

Fujieda, S.  et. al. Jpn. J. Appl. Phys.  1987, 26, 2067-2071

 

TMG, TMI, 600C-900C Theoretical

GaN, InGaN

Koukitu, A. et. al. phys. Stat. sol. (b), 1999, 216(1), 707-712

 

TMG

Theoretical

GaN

Goddard, W. et.al. J. Phys. Chem. C 2015, 119(8) 4095–4103

 

[Ru(DMBD)(CO)3]

200C. Metal Deposition

Ru

Cwik, S. et. al.  J. Vac. Soc.  Sci. & Tech. A 2020, 38, 012402; https://doi.org/10.1116/1.5125109

SiH4   550C-1050C

SiN

Yoshioka, S. et. al.   J. Electrochem. Soc. 1967, 114, 962–964.

SiH4/W hot wire  300C

SiN

Matsumura, H. 1989 Jpn. J. Appl. Phys. 28 2157

Si2H6, Si3H8 

350C-550C

SiN

Kanoh, H.  et al.  “Low-Temperature Chemical-Vapor-Deposition of Silicon Nitride” Journal de Physique IV Proceedings, 1991, 02 (C2), pp.C2-831-C2-837.

Si surface Nitridation.

300C-500C

SiN

Abyss, J.A. et. al.  J. AIChE  1995, 41, 2282–2291

Si2Cl6  285C

SiN

Edmonds, M. et. al.,   J. Chem. Phys. 2017, 146, 052820 ;  https://doi.org/10.1063/1.4975081

Si2Cl6  320C-410C

 

SiN

Kondusamy, A.  et. al.Low Temperature Thermal ALD of Silicon Nitride Utilizing a Novel High Purity Hydrazine Source”, Electrochem. Soc. AiMES 2018, Meet. Abstr.  G02-993

Si2Cl6  410C-650C

SiN

Le, D.N. et al “Thermal Atomic Layer Deposition of Silicon Nitride Using Anhydrous Hydrazine and Ammonia” AVS ALD 2021, Session AF9.

TBTDET 150C-250C

TaN

Burton, B.B., et. al. J. Electrochem. Soc. 2008, 155, D508

TBTDET 100C-300C

TaN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TDMAT  200C

TiN

Wierda, D.A. et. al. Electrochemical and Solid-State Letters, 1999, 2 (12) 613-615

TiCl4  200C-350C

TiN

Abdulagatov, A.I.  Ph.D. Thesis, Univ. of Colorado, 2012, UMI No. 3549153

TiCl4  300C-400C

TiN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TiCl4  300C-400C

TiN

 Kuo, C.H. et. al.Low Resistivity Titanium Nitride Thin Film Fabricated by Atomic Layer Deposition on Silicon”

  AVS ALD 2021, Session AM5-9.

TiCl4  250C-400C

TiN

Alvarez, D. et. al.  “Comparative Study of Titanium Nitride ALD using High Purity Hydrazine vs Ammonia” Electrochem. Soc. 2020 Meet. Abstr. MA2020-02 1668

BTBMW

300C

WN

Bernal-Ramos, K.   Ph.D. Thesis, Univ. of Texas, Dallas, 2014,

UMI  No. 3668896

BTBMW

250C-350C

WN

Le, D.N.  et.al. “Atomic Layer Deposition of Nanometer Thick Tungsten Nitride Using Anhydrous Hydrazine for Potential X-Ray Optics Application” AVS ALD/ALE 2021 Session: AF10-15

Discussion on Specific Films

Titanium Nitride (TiN) is a critical film in semiconductor manufacturing. Commonly TiN is utilized as an electrode material as well as a low resistivity barrier layer.  Early CVD work by Wierda demonstrated low temperature (50C-250C) TiN CVD by hydrazine and TDMAT.  Optimal results were obtained when 1.9% hydrazine was combined with ammonia.  This may be attributed to a different mechanistic pathway or ammonia dilution of oxygen containing contaminants.  Wolf later demonstrated low temperature (300C) TiN ALD with the use of TiCl4. This result was then optimized by Kuo in the same lab, where resistivities well below 180 micro-ohm/cm were achieved by reducing oxygen contamination in the film through improved hydrazine purity. A comparative study of Hydrazine vs Ammonia for TiCl4 was reported by Taiyo Nippon Sanso, where the two nitrogen sources showed highly disparate growth rates and film properties.  Hydrazine demonstrated viability at the 250C-400C range for low temperature semiconductor applications.

Silicon Nitride (SiN) is a widely used material in semiconductor devices. SiN is commonly used as an etch stop, a dielectric layer, an encapsulation layer, and as a barrier layer on organic devices.  As early as 1967, hydrazine and Silane CVD was demonstrated at 550C.  This work was then followed-up by Kanoh with higher silanes in the 350C-550C range.  In a very interesting approach, Abyss demonstrated Si surface nitridation with hydrazine at temperatures as low as 300C.  More recently, Edmonds cleverly used hydrazine/hexachlorodisilane ALD to place a thin SiN passivation layer on SiGe at 285C.  Extensive studies have been carried out by the Kim group at UT Dallas in the range of 320C-650C.  Below 400C, thermal ALD leads to films with good composition, but unfavorable low density and high wet etch rates.  This can be overcome with addition of Argon plasma densification.  At 480C and above, thermal ALD films are grown with high density, low wet etch rates, and reduced hydrogen incorporation.  When compared to ammonia grown films in the same temperature range, the hydrazine ALD films are superior up to temperatures >600C where films properties become more similar.

Gallium Nitride and Indium Gallium Nitride (GaN, InGaN) grown with hydrazine have had few publications in the last 20 years despite interest in reduction of ammonia usage and poor indium incorporation. These films are central in LEDs and emerging power devices.  Fujieda demonstrated that overall chemical consumption can be greatly reduced with hydrazine vs ammonia for GaN deposition in the 400C-800C range.  Koukitu followed this up with a theoretical thermodynamic study showing how the use of hydrazine can reduce deposition temperature and stabilize composition for GaN and InGaN films.  In 2015, Goddard elucidated the likely mechanisms for hydrazine vs ammonia is GaN deposition.

Though little has been published for GaN/InGaN deposition with hydrazine, viability for III/V materials can be inferred from work published for AlN ALD with hydrazine.  Fujieda reported MOCVD with trimethyl aluminum (TMA) in the 300C-400C range.  More recently Jung reported ALD with TMA as low as 175C and compared to ammonia in the 175C-350C range.  Abdulagatov made use of the nitride-based ligands with TDEAA/hydrazine ALD in the 150C-250C range.  In a similar approach using TDMAA, Ueda has reported the deposition of crystalline AlN films as low as 350C with thermal ALD.  With the addition of Argon plasma densification, crystalline films can be obtained as low as 225C, where crystallinity in AlN was optimized at 400C.

Copper, Cobalt and Ruthenium can be reduced in situ by Hydrazine.  Furst provided a detailed review on hydrazine as a reducing agent for organic compounds.8 Recently Hwang reported an extension of this reactivity to Cu surfaces.  Gas phase reduction of Cu oxides to Cu metal with hydrazine at moderate temperatures (100C-300C) was reported.  Here, hydrazine is introduced in short pulses, analogous to an ALD reaction. A similar report for Cobalt has also been presented by Hwang.  Cwik working in the Winter group has recently released data showing the ability to grow Ru metal using hydrazine as a reducing agent in Ru ALD at 200C.  Here hydrazine was found to be advantageous over substituted hydrazine derivatives.

Conclusion

Hydrazine is emerging as a replacement for ammonia in low temperature applications.   Recent examples of different production-worthy nitrides have been reported for both ALD and MOCVD films.  These positive reports have led to an increasing level of interest within the scientific community looking for solutions to new device structures and increased density.

Contact the Author

The author is available for additional technical discussion. Contact RASIRC to schedule an appointment.

References

1. Burton BB, Lavoie AR, George SM (2008) Tantalum nitride atomic layer deposition using (tert-Butylimido) tris(diethylamido)tantalum and Hydrazine. J Electrochem Soc 155, D508

2. Alvarez, D.; Spiegelman, J.; Andachi, K.; Holmes, R.; Raynor, M.; and Shimizu, H. Enabling Low Temperature Metal Nitride ALD Using Ultra-High Purity Hydrazine: ET/ID: Enabling Technologies and Innovative Devices. 2017 28th Annu. SEMI Adv. Semicond. Manuf. Conf., Saratoga Spring, NY, USA, 2017, 426–430.

3. Hwang, S.M.; Kim, H.S.; Le, D.N.; Ravichandran, A.V.; Sahota, A.; Lee. J.; Jung, Y.C.; Kim, S.J.; Ahn, J.; Hwang, B.K.; Lee, L.; Zhou, X.; and Kim, J. Plasma-Enhanced Atomic Layer Deposition of Nanometer-Thick SiNx Films Using Trichlorodisilane for Etch-Resistant Coating. ACS Appl. Nano Mater. 2021, 4, 2558–2564. https://doi.org/10.1021/acsanm.0c03203.

4. Kim, H.; Oh, I.-K.; Review of Plasma-Enhanced Atomic Layer Deposition: Technical Enabler of Nanoscale Device Fabrication. Jpn. J. Appl. Phys. 2014, 53, 03DA01. https://doi.org/10.7567/JJAP.53.03DA01.

5. Mussroot, J. et.al. Microelectronic Engineering 86 (2009) 72-77. http://dx.doi.org/10.1016/j.mee.2008.09.036

6. Ravinder Kour et al 2020 ECS J. Solid State Sci. Technol. 9, 015011

7. Hwang, S. M.; Peña, L. F.; Tan, K.; Kim, H. S.; Kondusamy, A. L. N.; Qin, Z.; Jung, Y. C.; Veyan, J.-F.; Alvarez, D.; Spiegelman, J.; et al. Vapor-Phase Surface Cleaning of Electroplated Cu Films Using Anhydrous N2H4. ECS Trans. 2019, 92, 265–271.

8. Furst, A. et. al. Chem. Rev. 1965, 65, 51–68.