Wednesday, June 29, 2016

Atomic Layer Etch Heats Up - ALE 2016 Ireland up next

In less then a month the ALE 2016 Workshop is on together with ALD2016 in Ireland. Here is a fresh article on ALE by Mark Lapedus at Semiconductor Engineering:

The atomic layer etch (ALE) market is starting to heat up as chipmakers push to 10nm and beyond. ALE is a promising next-generation etch technology that has been in R&D for the last several years, but until now there has been little or no need to use it. Unlike conventional etch tools, which remove materials on a continuous basis, ALE promises to selectively and precisely remove targeted materials at the atomic scale.

It now is moving from the lab to the fab. Applied Materials, for example, has officially entered the next-generation etch market by rolling out a new tool technology. Applied describes its technology as an “extreme selectivity” etch tool, although the system basically falls in the generic category of ALE.

Meanwhile, Hitachi High-Technologies, Lam Research and Tokyo Electron Ltd. (TEL) are also working on ALE tools.

No comments:

Post a Comment