Tuesday, October 12, 2021

AlixLabs Granted Patent in Taiwan - The Unmatched Leader of the Global Semiconductor Industry

TUE, OCT 12, 2021 21:01 CET -- AlixLabs AB today announced that the company has been granted a patent in Taiwan relating to Atomic Layer Etch Pitch Splitting (APS)

AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, eliminating several steps in the manufacturing process - Atomic Layer Etch Pitch Splitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronics. The method also makes it possible to manufacture tiny semiconductor components accurately and efficiently, which means that smaller production facilities in, for example, Europe will be able to manufacture the components with manageable investments. Today, basically all production of leading-edge semiconductors takes place in the USA and Asia, and mainly in Taiwan and South Korea.

The company is now pleased to announce the first granted patent in Tawan. Taiwan is one of the most important markets for leading-edge semiconductor manufacturing and hence crucial for AlixLabs to protect its innovative APS process by IP. The Taiwanese semiconductor industry, including IC manufacturing, design, and packing, forms a significant part of Taiwan's IT industry. Due to its strong capabilities in fab wafer manufacturing and a complete industry supply chain, Taiwan has distinguished itself from its competitors and dominate the global marketplace. Taiwan is the unmatched leader of the worldwide semiconductor industry, with Taiwan Semiconductor Manufacturing Company (TSMC) alone accounting for more than 50% of the global market. In 2020, the sector accounted for US$115 billion in output. Recently, Terry Tsao (曹世綸), global chief marketing officer and Taiwan president of SEMI, said the country's semiconductor equipment spending is expected to continue to grow in 2022 and replace South Korea as the world's largest market next year.**

As previously announced (September 28, 2021), Almi Invest and private investors, including NHL professionals Michael, Alexander and William Nylander, are participating in the issue for a total of SEK 9 million (USD 1 million) investment in AlixLabs. The funds will be used for product development and validation of the APS technology.

In a statement from Dr. Dmitry Suyatin, CTO and co-founder of AlixLabs on the origin of the invention and R&D activities in Lund, he said "Our key technology is based on a surprising discovery that sidewalls act as a topographical mask in Atomic Layer Etch Processes. This technology has been proven for such different materials as Gallium Phosphide (GaP), Silicon (Si) and Tantalum Nitride (TaN) – all being critical materials to the semiconductor and optoelectronic industry. Besides already having secured a granted US patent, we are now delighted to announce that our Taiwan patent has also been granted and that we have more patent applications in the pipeline".


The Diploma to AlixLabs for the recently Granted Patent (TW I739812) in Taiwan issued by The Taiwan Intellectual Property Office (TIPO, 經濟部智慧財產局)

Dr. Mohammad Karimi, R&D and Operation Manager, added, "I am delighted that ALixLabs has been granted a 1 Million SEK project to verify the APS technology in the fabrication of FinFET and GAA-FET transistor architectures by Vinnova in June 2021".. Dr. Jonas Sundqvist, CEO and co-founder of AlixLabs, concluded that "The strategy as we advance is to successfully transfer the APS technology to 300 mm wafer processing in Dresden, Germany and making it readily available for process demonstration for the leading IDMs & Foundries. We don't only hope to cut cost in semiconductor manufacturing but also to reduce the energy and clean water demand and output of greenhouse gasses during chip manufacturing considerably. This is not by itself a Green Fab Technology. However, it takes one small step in the right direction."

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30, 2021), The US Patent Office has approved AlixLabs's patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method can have a significant impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).
** Wikipedia
*** September 15, 2021-Hsinchu News, SEMI (International Semiconductor Industry Association) https://www.semi.org/zh/world_fab_forecast_fabuctor Industry Association) https://www.semi.org/zh/world_fab_forecast_fab

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

1 comment:

  1. Do you need Finance? Are you looking for Finance? Are you looking for finance to enlarge your business? We help individuals and companies to obtain finance for business expanding and to setup a new business ranging any amount. Get finance at affordable interest rate of 3%, Do you need this finance for business and to clear your bills? Then send us an email now for more information contact us now via (financialserviceoffer876@gmail.com) whats-App +918929509036 Dr James Eric Finance Pvt Ltd Thanks

    ReplyDelete