Tuesday, April 16, 2019

AVS ALD2019 & ALE2019 Technical Program

Key Deadlines:
Late News Abstract Deadline: May 6, 2019
Early Registration Deadline: June 1, 2019
Hotel Reservation Deadline: June 27, 2019
JVST Special Issue Deadline: November 1, 2019
Call for Late News Abstracts: May 6, 2019
The AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) featuring the 6th International Atomic Layer Etching Workshop (ALE 2019) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. The conference will take place Sunday, July 21-Wednesday, July 24, 2019, at the Hyatt Regency Bellevue in Bellevue, Washington (East Seattle). The meeting will be preceded (Sunday, July 21) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, July 22-24) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.
Download the ALD-ALE Mobile App
Logging into the app allows you to access messaging, enable the synchronization of notes, favorites, and scheduled items between devices and the online scheduler. Click Here for Download Details 
Plenary Speaker
  • Jeff Elam (Argonne National Lab, USA)
  • Eric Joseph (IBM, USA)

ALD Invited Speakers
  • Silvia Armini (IMEC, Belgium)
  • Ageeth Bol (Eindhoven Univ. of Technology, Netherlands)
  • Jolien Dendooven (Ghent Univ., Belgium)
  • Eric Dickey (Lotus Applied Technology, USA)
  • John Ekerdt (Univ.of Texas, Austin, USA)
  • Fabio Grillo (ETH Zurich, Switzerland)
  • Hyeongtag Jeon (Hanyang Univ., South Korea)
  • Jessica Kachian (Intel, USA)
  • Rajesh Krishnamurthy (TechInsights/Chipworks, Canada)
  • Alex Martinson (Argonne National Lab, USA)
  • Niloy Mukherjee (Eugenus, Inc., USA)
  • Jin-Seong Park (Hanyang Univ., South Korea)
  • Henrik Pedersen (Linkoping Univ., Sweden)
  • Madhukar Rao (Versum Materials, USA)
  • Dina Triyoso (Tokyo Electron, USA)
  • Ginger Wheeler (U.S. Naval Research Lab, USA)
ALE Invited Speakers
  • Tomoko Ito (Osaka Univ., Japan)
  • Sabbir A. Khan (Niels Bohr Institute, Univ. of Copenhagen, Denmark)
  • Nobuyuki Kuboi (Sony Semiconductor Solutions Corp., Japan)
  • Xu Li (Univ. of Glasgow, UK)
  • Alfredo Mameli (TNO-Holst Centre, The Netherlands)
  • Angelique Raley (TEL Technology Center, America, USA)
  • Kazunori Shinoda (Hitachi Ltd, Japan)
  • Samantha Tan (Lam Research, USA)

Tutorial Speakers
  • Area-selective ALD for Semiconductor Manufacturing, Stacey Bent (Stanford Univ., USA)
  • ALD for Battery Applications, Andy Sun (Western Univ., Canada)
  • ALD for Catalysis, Rong Chen (Huazhong Univ. of Science and Technology, China)
  • ALD for Photovoltaics, Bart Macco (Eindhoven Univ. of Technology, Netherlands)
  • Plasma Based ALE, Thorsten Lill, (Lam Research, USA)
  • Thermal Based ALE, Steve George (Univ. of Colorado at Boulder, USA)
ALD Program Chairs
Program Chair:
Sumit Agarwal
(Colorado School of Mines, USA)

Program Co-Chair:
Dennis Hausmann
(Lam Research, USA)

ALE Program Chairs

Program Chair:
Craig Huffman
(Micron Technology, USA)

Program Co-Chair:
Gottlieb Oehrlein
(University of Maryland, USA)

Thursday, April 11, 2019

the ALD 2019 Innovator Awarde to Prof. W. M. M. (Erwin) Kessels, Eindhoven University of Technology TU/e

Prof. W. M. M. (Erwin) Kessels, Eindhoven University of Technology TU/e - the ALD 2019 Innovator Awardee - The ALD Innovator award “For Original Work and Leadership in ALD” will be presented on Monday prior to the Plenary Lectures.
 

Wednesday, April 10, 2019

Strem high purity liquid ruthenium precursor for emerging ALD and CVD applications

Ruthenium has been under investigation for years among researchers all across the globe for applications such as high-work function electrodes in dynamic random access memory (DRAM) capacitors or gate stack in p-channel metal oxide semiconductor (MOS) in the front end of line (FEOL). It has also been considered for alloyed diffusion barriers, adhesion layers or seed layers in interconnects or through silicon vias (TSVs) for direct electrochemical deposition of copper in the back end of line (BEOL). In these applications, atomic layer deposition based on ultra-thin Ru films offer unique advantages.

Most of the available Ru ALD or CVD precursors have issues concerning low vapor pressure and high impurity levels, such as carbon and oxygen, which get incorporated in the films. In addition to that, long incubation times impacting throughput and process controllability, poor film adherence, and non-uniformity in high-aspect-ratio structures are some critical limitations of the field. However, Strem Chemicals—a high purity specialty chemicals’ manufacturer and supplier—offers a well-preferred bis(ethylcyclopentadienyl)ruthenium(II) [[(CH3CH2)C5H4]2Ru] (catalog number 44-0040) precursor for depositing Ru based ALD/CVD films for niche applications, such as aligned RuO2 nanorods. The pale yellow liquid precursor with a density of 1.3412 and vapor pressure ~0.2mm (85°C), is sold pre-packed in ALD cylinders by Strem Chemical. These fit many of the ALD tools on the market as well as many custom laboratory designed tools. 
Recently, (March 19-20, 2019) Strem exhibited at the annual EFDS ALD for Industry Workshop in Berlin, Germany and we had a chance to discuss Ruthenium precursors with attendees. Here is a short section from the well-known Strem ALD/CVD Precursor Catalogue.

Here are just a few examples of thermal as well as plasma driven thin film deposition processes based on bis(ethylcyclopentadienyl)ruthenium(II) precursor presented by the diverse group of researchers at this meeting.

Thomas Waechtler et. al. have reported plating results on layers of ALD Cu with underlying Ru deposited using bis(ethylcyclopentadienyl)ruthenium(II) outperforming ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Application of these processes suggest that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
Researchers from the National Taiwan University of Science and Technology studied structures and electrochemical capacitive properties of RuO2 vertical nanorods encased in hydrous RuO2. They grew vertically aligned RuO2 nanorods with an aspect ratio in the range of 28-30 on the LiNbO3(100) substrate via metal-organic CVD (MOCVD) using bis(ethylcyclopentadienyl)Ru from Strem Chemicals. (Link)

A Korean research group has also reported plasma-enhanced ALD of Ru thin films performed using an alternate supply of bis(ethylcyclopentadienyl)ruthenium and NH3 plasma, where NH3 plasma acted as an effective reducing agent for bis(ethylcyclopentadienyl)ruthenium. The process exhibited no carbon or nitrogen impurities in the film as determined by elastic recoil detection time of flight analysis and the film density was found to be higher than that found in conventional oxygen based ALD.

Since 1964, Strem Chemicals, Inc. has been serving its clients from academic, industrial and government research and development laboratories as well as commercial scale businesses in the pharmaceutical, microelectronic and chemical/petrochemical industries. Strem (Headquarters: Newburyport, Massachusetts, USA) is a high quality specialty chemicals’ manufacturer and supplier. Strem also provides custom synthesis (including high-pressure synthesis) and current good manufacturing practice (cGMP) services. With ISO 9001 certification as a Quality Management System (QMS) standard with documentation, most of Strem’s products are reliable and of high purity, typically 99%, with some having 99.9999% metals purity. Strem utilizes a comprehensive range of analytical techniques tailored and applied to each product to ensure quality because the researchers typically rely on a supplier's quality procedures and documentation, which if poorly conducted may kill a great research idea. All of Strem's catalogs, since inception, have listed “Color and Form” for every product as a primary indicator of quality.

More than fifty years of experience in manufacturing inorganic and organometallic chemicals has enabled Strem to expand its product offering of MOCVD, CVD, and ALD precursors to continually add new products in support of this dynamic and exciting field. Strem’s CVD & ALD product range includes:

---
Promotional Blog for Strem Chemicals, Inc.
Researched, produced & written by BALD Engineering AB, Stockholm, 2019-04-10
Abhishekkumar Thakur, Jonas Sundqvist
www.baldengineering.com

In 2-Weeks: 2019 CMC Conference Highlights ALD/CVD Market and Technology Trends


In 2-Weeks: 2019 CMC Conference Highlights ALD/CVD  Market and Technology Trends 

 The upcoming CMC Conference, April 25-26, in Saratoga Springs, New York, will feature the latest forecasts on market drivers, trade issues, and technical issues facing precursors and other global materials supply-chains.  As shown below, CAGR for metal precursors is expected to exceed 11% through 2021.  Technology and Market Trends on atomic layer deposition (ALD) and chemical vapor deposition (CVD) precursors for IC fabs will be presented and discussed at this year's conference.

The Emerging Session will include: 
  • Dr. Jonas Sundqvist, Sr. Technology Analyst of TECHCET and Group Leader of Fraunhofer Institute will be revealing TECHCET's "Market and Technology Trend Forecasts for ALD & CVD Metal and Dielectric Precursors." (Sample shown below.) 
  • Dr. Matthew Stephens, VP of Sales and Product Management for Air Liquide, will provide a presentation on "Economic Considerations of ALD Precursor Selection."
  • Dr. David Thompson, Managing Director of Chemistry for Applied Materials, will present on "Preparing Supply-Chains and Managing Risk for an Uncertain Future on Emerging Devices."
  

Deputy CTO & VP of Worldwide R&D, GlobalFoundries  
 "Materials Challenges & Opportunities in Differentiated Technologies" 

 
3-Dynamic Sessions:
  1. Global Materials Supply-Chain and Market Issues
  2. Immediate challenges of materials & manufacturing 
  3. Emerging materials in R&D and pilot fabrication
Register now by clicking on the links, above, or go to: https://cmcfabs.org/cmc-conference-2019/

The public CMC Conference follows private CMC face-to-face meetings to be held April 23-24, 2019 at GlobalFoundries in Malta, New York.

Look Who's Coming - leading fabs, equipment & materials companies:
·        Samsung
·        Texas Instruments
·        GlobalFoundries
·        TowerJazz Panasonic
·        KFMI
·        Fraunhofer
·        Wonik
·        Ereztech
·        Matheson/TNSC
·        Linde
·        Inpria
·        IMEC
·        VLSI Research
·        SACHEM
·        Niacet
·        Grikin
·        Aveni
·        Silar Labs
·        ATI Metals
·        Momentive
 
·  STMicroelectronics
·  ON Semiconductor
·  Broadcom
·  TEL Technology Cntr
·  Umicore
·  Kinik
·  Revera/Nova
·  TECHCET
·  Strem Chemicals
·  Grikin
·  ATI Metals
·  Cryoin
·  MGC Pure Chemicals
·  Electronic Fluorocarbons
·  ShinHao Materials
·  Applied Seals
·  Peroxychem
·  Messer
·  MPD Chemicals
·  Mott Filters

·        Intel
·        Micron
·        Cypress
·        3M
·        IBM
·        Entegris
·        Air Liquide
·        Versum Materials
·        Air Products
·        Greene Tweed
·        Eastman
·        GrandiT
·        Edwards Vacuum
·        Mega Fluid Systems
·        Zing Semiconductor
·        Schrodinger
·        Boulder Scientific
·        Johnson Matthey
·        Veeco
·        ...and More!

2019 CMC Conference Sponsors:






Tuesday, April 9, 2019

Review Article: Atomic layer deposition of optoelectronic materials


Monday, April 8, 2019

USITC To Investigate Hanwha’s Claims

USITC To Investigate Hanwha’s Claims: Acting on Hanwha Q Cells' complaints of patent infringement in the US, an administrative law judge of the USITC will initially determine whether there has been a violation of Section 337 which will then be reviewed by the commission.