Wednesday, April 29, 2015

The 11th Korean ALD Workshop was held 24th April 2015 in Seoul, Korea

The 11th Korean ALD Workshop was held 24th April 2015 organized by Hanyang University.


Also last year Hanyang University and Professor Jeon organized this event as reported by the blog then : http://baldengineering.blogspot.se/2014/04/han-yang-university-held-10th-korean.html

"I have hosted this important workshop last 10 years. This year the attendees were over 300 and Hall was very crowded and there were 8 invited speakers and Steve and Greg were among them." said Professor Jeon at Han-Yang University in 2014.

Congratulations to a successful 11th Korean ALD Workshop!

 

Breakthrough in indium oxide quality by atomic layer deposition by TU/e

As reported by Oxford Instruments: Researchers at the Eindhoven University of Technology (TU/e) have developed a novel approach to prepare TCOs consisting of H-doped indium oxide (In2O3:H) which are both highly conductive and highly transparent. Using an Oxford Instruments’ Atomic Layer Deposition (ALD) system, the team was able to prepare amorphous In2O3:H at 100 °C by ALD, followed by a brief solid phase crystallization step at 200 °C. In comparison to conventional sputtered Sn-doped indium oxide (ITO), the salient feature of the ALD In2O3:H process is the superior electron mobility (138 cm2/Vs), which simultaneously enables a lower resistivity (0.26 mΩ cm) and a negligible free carrier absorption in the infrared.


Research highlighted on cover of rapid research letters. Reference: Macco et al., Phys. Status Solidi RRL 8, No. 12, 987–990 (2014)

Oxford Instruments’ popular FlexAL® and OpAL® tools allow both the deposition of the amorphous indium oxide as well as the subsequent crystallization at modest temperatures of 150 to 200 °C. The high conductivity and high transparency, in conjunction with the low-temperature, soft processing, makes the presented approach especially promising for the application of the TCO in silicon heterojunction solar cells.

“Prof. Kessels and the Plasma and Materials Processing (PMP) group at TU/e continue to conduct exciting research using our ALD systems within new application areas”, said Oxford Instruments Plasma Technology’s ALD Product Manager, Chris Hodson, “This key technology advance is of real interest to the solar cell research community, and I’m certain our continuing collaboration with TU/e will bring additional advances in this and other technology areas.”

http://www.technologist.eu/wp-content/uploads/2014/11/Erwin-Kessels.jpg

Erwin Kessels from Eindhoven University of Technology (TU/e) is spearheading efforts to translate smart nanotechnology inventions into economically viable industrial processes and products, for instance solar cells. Currently, most solar cells on the market are made up of crystalline silicon. While researchers have achieved more than 25 per cent energy conversion efficiency with this type of cell, the efficiency of commercially available solar cells, such as those lying on top of roofs, are so far only between 16 and 19 per cent. (Photo: Bart van Overbeeke. http://www.technologist.eu/erwin-kessels-pursuing-the-art-of-making/)

Prof. Kessels comments, “There is still a lot of potential for improving solar cells by thin films prepared by ALD, it is a very versatile technique yielding the highest quality films at low temperatures, without inducing damage, and with an unparalleled level of growth control. These are merits yielding opportunities for virtually all types of solar cells.”

Berenberg ziet sterk ASMI

ASM International zou dit jaar wel eens een zwak derde kwartaal kunnen boeken, maar dat is volgens analisten Tammy Qiu en Jean Beaubois van het Duitse Berenberg geen reden voor bezorgdheid. Het bedrijf waarschuwde zelf voor een zwakkere orderintake in het derde kwartaal. Maar de analisten van Berenberg schrijven dat dat 'puur komt door de timing van de orders'.

 

'Wij geloven niet dat het onderliggende verhaal van ASMI is veranderd', zeggen Qiu en Beaubois. Zij verwachten dat de toeleverancier van de halfgeleiderindustrie kan profiteren van sterke groei van de markt die gebruik maakt van een techniek die atomic layer deposition wordt genoemd. Berenberg geeft daarom een koopadvies voor ASMI.

Google Translate :

ASM International this year would ever be able to book a weak third quarter, but according to analysts Tammy Qiu and Jean Beaubois of the German Berenberg no reason for concern. The company warned itself for a weaker order intake in the third quarter. But analysts of Berenberg write that "purely because of the timing of orders.

"We do not believe that the underlying story of ASMI has changed," said Qiu and Beaubois. They expect the supplier to the semiconductor industry can benefit from strong growth in the market that uses a technique called atomic layer deposition. Berenberg therefore gives a buy recommendation for ASMI.


Please also read previous blog on the growth of the ALD market: http://baldengineering.blogspot.se/2015/04/fast-ald-growth-for-semiconductor.html

AVACO Receives Volume Production Order for PV Manufacturing Line from US Manufacturer

SAN JOSE, Calif.--()--AVACO received additional volume order for PV manufacturing line that will be installed in China from one of the major solar manufacturer in the U.S. AVACO specializes in the manufacturing sputtering (PVD) vacuum deposition equipment, SuVAS™, and atomic Layer deposition (ALD) equipment AEON™. With the expertise in providing the turnkey manufacturing solution, AVACO boosts the PV market momentum.

logo

"The result is in line with our milestone that we would receive additional volume production orders for photovoltaic manufacturing," stated Chuck Kim, AVACO's VP & GM, business development. "It is a major production win and a validation of our proven production technology.”


Leveraging the experience and strength in the mass production industry, AVACO surges at an accelerating pace during the past 24 months in the U.S. market development. AVACO expands its service to meet customers with contract manufacturing needs as well as custom designs in the U.S. Overall, the company has validated its technological capabilities with flexible services in the market with proven reliability, resulting in superior performance and further positioning itself as the technology of choice for leading edge automated manufacturing.

“Our core technology strengthens and broadens our offering of competency, enabling AVACO to continue to serve our customers with innovative and leading-edge solutions for thin film manufacturing challenges,” said Kim. 

AVACO is pleased to announce the participation in the Society of Vacuum Coater, 2015 SVC Techcon Exhibit, April 28 ~ 29, 2015 at Santa Clara Convention Center, Santa Clara, CA. For more information please visit us at our Booth #912, 2015 SVC Techcon Exhibit. Additional information can be found at our website at www.avaco.com.


AVACO Introduces New ALD Process System for Solar Cell:

Saturday, April 25, 2015

Fast ALD Growth for the Semiconductor Equipment industry

There are reports on growth in the ALD industry coming in all the time. Here are two recent examples:

"Atomic Layer Deposition (ALD) ranks as the fastest growing market, driven by the increasing use of the technology in the production of electronic gadgets such as mobile phones, smartphones, PDAs, DVD players, portable media players, video games, home-theater systems, microwaves, and printers, among others." [Report]

"The analysts forecast the Global Atomic Layer Deposition market to grow at a CAGR of 36.10 percent over the period 2013-2018. One of the key factors contributing to this market growth is the growing demand for miniaturized components. The Global Atomic Layer Deposition market has also been witnessing the development of new atomic layer deposition materials. However, the slow deposition rate of atomic layer deposition could pose a challenge to the growth of this market." [Report]

That is why I think it is interesting to hear what these companies say in their earning calls. Bellow I collected some examples from ALD equipment companies. Clear is that growth in the semiconductor industry comes from:

  • FinFET introduction at Foundries (SAMSUNG, TSMC and Globalfoundries)
  • Multipple patterning for both logic and memory
  • 3DNAND & DRAM as always

ASM International (2015 - 1Q Earnings Call, www.SeekingAlpha.com)

[...] The leading manufacturers have already ramped notable device generations based on our ALD and (PE)ALD products. For these industry leaders we have become strategic partners in the development of ALD and (PE)ALD technologies.

http://www.asm.com/

In a more recent periods we have also penetrated new customers with our ALD and (PE)ALD products. In 2014, we recorded a substantial first time revenue contribution from a number of these new customers as they began ramping ALD and (PE)ALD in high volume manufacturing. Including the tool record selections that we secured last year, we now supply our ALD and (PE)ALD products to all of the top 10 CapEx spenders in our industry. We will leave this successful growth of our customer base as well as our expansion to new ALD and (PE)ALD application demonstrates the competitiveness of our products.

As discussed during our last results conference call we expect our addressable product of the ALD and (PE)ALD market the single wafer and many batch [ph] part of that market to double over the next three to four years. And that is doubling compared to a baseline of more than US$600 million in 2014. Taking this three, four year horizon, we see a number of strong market drivers. In memory, we see a continue to growing need for advanced multiple patterning solutions. And as most listeners are probably where we have strong position with our PEALD technology for spacer defined double patterning. In the logic and foundry segments, the transition to FinFET devices will provide significant opportunities for the ALD and (PE)ALD market in general.

Over the next three to four years, we expected successful generations of FinFET devices will require a steady increase in a number of ALD and (PE)ALD process steps. This includes ALD and (PE)ALD process steps are rising from the expected to increase need for multiple patterning in advanced logic and foundry processes. The short prospects are our ALD markets in the coming years are strong and as a market leader, we believe we are well position to benefit.

In the memory market, the strength of last year has carried over to this year. Spending in memory in 2015 so far looks healthy, in DRAM on the 20-nanometer node and then NAND Flash on 18, 16-nanometer.

http://www.asmi-annualreport.com/2013/media/a/s/ASMI_DelPrado_Letter_to_shareholders%20(2).png

ASM International's (ASMI) CEO Chuck del Prado

Ultratech / Cambridge Nanotech (2015 - 1Q Earnings Call, www.SeekingAlpha.com)

The ALD product bookings also are showing increase trends and is included in our nano products bookings where they represent 7% of new system orders points. We’ve completed the second generation of the third LED batch production model the Phoenix 2G now all three products the Savannah, the Fiji and the Phoenix have been redesigned for better performance and reliability.

http://www.cambridgenanotechald.com/

This now completes the transition of the ALD product line with all models shipped to customers around the world. We are currently projecting an increase in the ALD business for 2015.

http://www.ultratech.com/ir/Interactive%20AR_2011/images/shareholder.png

Ultratech's (UTEK) CEO Arthur Zafiropoulo



[...] Now let's turn to the core markets. I've spoken in earlier calls about our strategic initiative to capture additional opportunities in our core semiconductor business. The industry is facing several inflection points, including the shift from planar devices to 3D as well as the increased need for multi-patenting. As a result, the industry has adopted techniques which rely significantly on Etch and deposition steps to continue to shrink critical dimension. Industry analysts project that from 2014 to 2019, the number of Etch and deposition tools required will outpace the industry by more than 15% further creating opportunities for MKS to do our strong exposure to these process steps. For example, as critical dimensions continue to shrink to 20 nanometers and below conformal angstrom thick deposition is essential. Processes such as global CVD and atomic layer deposition or ALD are used to deposit these very thin layers. In ALD, oxidizing precursor is required for the process and ozone is a preferred oxidizer, since it provides a number of advantages and throughput and film quality.

Bildergebnis für mks instrument logo
 
MKS ozone systems are the industry standard, beating our competitors due to our quality, reliability and system performance and we continue to supply ozone systems for ALD for a leading Korean chip manufacturer. Additionally, MKS's next generation plasma products continue to be the positive choice in the latest CVD applications and will ramp along with a 16 nanometer node adoption in thin set applications. Hand-in-hand with depositing very thin films is the etching of minute features into them. Because the films are so thin, process control is critical.
 
http://www.mksinst.com/images/JColella.jpg
 
MKS Instruments (MKSI) CEO Gerald Colella


We are continuing to deliver the next generation of solutions that define the leading edge such as atomic layer deposition capability for applications such as spacer-based patterning schemes and atomic layer etch for high aspect ratio etch process steps.

Bildergebnis für Lam Research

These technologies have long suffered from under adoption in the industry because of productivity concerns, but Lam is now changing that paradigm with frequency of new product releases and engagements with customers that are designed to enable continued scaling for our customers.

As we move into calendar 2015, we will further emphasize by our actions the priority of staying close to our customer at a strategic and tactical level both, partnering with them on their technology introduction and ramp plans, sizing and allocating our R&D investments accordingly.

Operating expenses were flattish at $321 million. SG&A declined sequentially while R&D spending increased both in absolute dollars as well as a percentage of total operating expenses. We continue to invest in R&D programs to ensure we’re ready for the current as well as next set of technology inflections, which is critical to enable our revenue growth. This R&D spending is focused in areas like ALD and ALE which Martin referenced earlier.


Lam Research's (LRCX) CEO Martin Anstice