Tuesday, April 30, 2019

Improving SAQP Patterning Yield using Virtual Fabrication and Advanced Process Control

Here is a noceanimation and descrioption of the Self-Aligned Quadruple Patterning (SAQP) from Coventor.
 
[Coventor] Advanced logic scaling has created some difficult technical challenges, including a requirement for highly dense patterning. Imec recently confronted this challenge, by working toward the use of Metal 2 (M2) line patterning with a 16 nm half-pitch for their 7nm node (equivalent to a 5nm foundry node). Self-Aligned Quadruple Patterning (SAQP) was investigated as an alternative path to Extreme Ultra-Violet (EUV) lithography for this line patterning application. At the 2019 SPIE Advanced Lithography conference, Coventor personnel demonstrated how virtual process modeling (combined with advanced process control) could provide enhanced patterning yield and enable SAQP patterning at this tight pitch (See Complete White Paper).
 
Source: Coventor LINK
 

No comments:

Post a Comment