Showing posts with label Epitaxy. Show all posts
Showing posts with label Epitaxy. Show all posts

Wednesday, February 28, 2024

ASM International: Spearheading Semiconductor Innovation in ALD, Epitaxy, and CVD Markets

ASM International N.V. (Euronext Amsterdam: ASM) yesterday reported its fourth quarter 2023 operating results (unaudited). Double-digit full-year revenue growth, outperforming softer WFE market in 2023

“2023 was another successful year for ASM. Sales increased by 13% at constant currencies, despite softening market conditions, and marking the seventh consecutive year of double-digit growth.” said Benjamin Loh, CEO of ASM. “Revenue in Q4 2023 amounted to €633 million, in line with our guidance of €600-640 million and down compared to the level in Q4 2022. Revenue in the quarter was supported by strong sales in the power/analog/ wafer segment. Bookings at €678 million were slightly better than our expectation and were driven by GAA pilot- line orders and continued strength in China demand.

ASM's Leadership in the Growing ALD Market

According to ASM, the single wafer Atomic Layer Deposition (ALD) market is experiencing significant growth, with projections indicating an increase from $2.6 billion in 2022 to a range of $4.2 billion to $5.0 billion by 2027. This growth, characterized by a Compound Annual Growth Rate (CAGR) of 10-14% from 2022 to 2027, underscores the expanding role of ALD technology in semiconductor manufacturing. ASM International, a key player in the semiconductor industry, holds a dominant position in this market, commanding a share of over 55% throughout the forecast period.

Please note that this market assessment, most probably originally from TechInsights (prev. VLSI Research) does not include Large Batch furnace ALD, which historically have been about 30% of the total 300 mm ALD equipment market. The leaders in this segment are Tokyo Electron followed by Kokusai and ASM chose not to compete with its A412 ALD product line.

Driving Forces Behind ALD Market Expansion

The expansion of the ALD market is propelled by a series of technological advancements and increasing demands within the semiconductor sector. Key factors contributing to this growth include the industry's shift towards Gate-All-Around (GAA) technology, the necessity for advanced high-k gate dielectrics, and the precision required for threshold voltage tuning. Additionally, the development of sacrificial layers and the use of high aspect ratio Through-Silicon Vias (TSVs) are critical in advancing semiconductor manufacturing techniques. The application of metals and the adoption of selective ALD processes further accentuate the importance of ALD technology in modern semiconductor fabrication.


ASM's Strategic Positioning and Market Opportunities

ASM is well-positioned to capitalize on the opportunities presented by the burgeoning ALD market. The company's strategic emphasis on innovation, coupled with its comprehensive product portfolio, positions ASM as a frontrunner in meeting the evolving needs of the logic/foundry and memory segments of the semiconductor industry. The transition to advanced manufacturing technologies, such as GAA and high-k metal gate applications, presents significant growth avenues for ALD, with ASM at the forefront of this technological evolution.

To be more specific, the transition to GAA technology and the expansion in FinFET applications are set to significantly increase ASM's served available market by approximately US$400 million for every 100,000 wafer starts per month (WSPM). According to ASM, the equipment orders started to come in in the 2nd half of 2023. We can assume that this are orders from Samsung, TSMC and Intel. It is however about peculiar since Samsung had 3 nm GAA going already with yield in August 2023 and ASM is describing it as GAA pilot lines. Anyhow, come 2028 when all leading foundries including Rapidus in Japan are up and running GAAFETs, this additional market will be + USD 1.5 B as compared to if it would have been "only" FinFET technology - according to my back of the envelope calculations. For a company like ASM, with just below USD 3 B (2.6 B EUR) annual Revenue 2023 this is a huge thing. If this is not enough to go woah - add to that the GAAFET market is an upwards moving target and will continue to grow and looking ahead stacking of NMOS/PMOS will drive further demand for this type of ALD and Epi processes.

Expansion into the Epitaxy and CVD Markets

The Silicon Epitaxy (Si epi) market is also on a growth trajectory, with forecasts suggesting it will reach between $2.3 billion and $2.9 billion by 2027. ASM aims for a market share target of over 30%, focusing on both leading-edge and non-leading-edge segments. The leading-edge growth is driven by transitions to GAA technology and advancements in high-performance DRAM, while the non-leading-edge growth is buoyed by wafer power analog and strong momentum from ASM's Intrepid ESA. The epitaxy market is expected to see a Compound Annual Growth Rate (CAGR) of 3-8% from 2022 to 2027, with the leading-edge segment outpacing the overall market with a CAGR of 10-15%.

Regarding the SiC market, the investor presentation highlighted significant growth in power/analog/wafer revenue, almost doubling, primarily driven by robust demand in China. This growth was positively impacted by the consolidation of LPE (SiC Epitaxy), with sales comfortably exceeding the target of more than €130 million in 2023. This indicates ASM's strong performance in the SiC market and its successful integration and expansion in SiC epitaxy, aligning with the broader industry trend towards more advanced and efficient semiconductor materials.

Chemical Vapor Deposition (CVD) technology is another area of focus for ASM, particularly in the context of transitioning to new materials like Molybdenum, which is replacing traditional materials such as CVD Tungsten and PVD Copper in interconnect applications. This shift is indicative of the evolving needs within the semiconductor manufacturing process and highlights ASM's adaptability to changing market dynamics.

In summary, ASM's strategic initiatives in ALD, Epitaxy, and CVD technologies underscore the company's commitment to innovation and leadership within the semiconductor equipment market. Through a combination of market foresight, technological prowess, and strategic investments, ASM is well-positioned to capitalize on the growth opportunities presented by the evolving semiconductor landscape. 

Friday, December 18, 2015

SAMCO Signs Distributor Contract with Swedish SiC CVD OEM

On December 1, 2015, SAMCO Inc. signed an international distributor agreement with Epiluvac AB, a Swedish manufacturer of silicon carbide (SiC) CVD systems. The agreement terms grant SAMCO exclusive distribution rights in Japan, Taiwan, Singapore, Malaysia and the Philippines.


Visit Epiluvac: http://epiluvac.com/

As a global enterprise, SAMCO is marketing its dry etching and various CVD systems in Asia, Europe and North America in addition to gaining market share within Japan. SAMCO's dry etching and plasma CVD technology serves applications involving wide band-gap semiconductor materials (e.g. RF devices, LEDs, semiconductor laser fabrication, power devices, etc.). Recently, SAMCO has placed its focus on selling production systems for next-generation GaN and SiC power devices, which are cornerstones to "green electronics" that have a large impact on energy conservation.



Epiluvac (headquartered in Lund, Sweden) is a technology company that has engaged in the development, production, and sale of SiC CVD systems used by research institutions around the world for power device applications since its establishment in 2013.


Having combined Epiluvac's SiC CVD system with its existing product lineup of plasma CVD, dry etching, and surface treatment systems, SAMCO offers a "one stop solution" for customers involved with SiC power device applications.

Saturday, May 31, 2014

A new technique for fabricating high-quality epitaxial oxide thin films on amorphous substrates

A new technique for fabricating high-quality epitaxial oxide thin films on amorphous substrates such as glass has been developed by Japaneese reserachers from University of Tokyo, Kanagawa Academy of Science and Technology, Japan Science and Technology Agency and National Institute for Materials Science. The new manufacturing method called lateral solid-phase epitaxy, could help realise applications of oxide-based thin film devices. This is especially interesting for large scale production of flexible electronics on foil or large glass substrates used in e.g. display technology. The results has been published in ACS Nano (abstract and supporting information below).

Lateral Solid-Phase Epitaxy of Oxide Thin Films on Glass Substrate Seeded with Oxide Nanosheets
Kenji Taira, Yasushi Hirose, Shoichiro Nakao, Naoomi Yamada, Toshihiro Kogure, Tatsuo Shibata, Takayoshi Sasaki, and Tetsuya Hasegawa
ACS Nano, Article ASAP, DOI: 10.1021/nn501563j, Publication Date (Web): May 27, 2014
 
 
Pictures from graphical abstratct (ACS Nano).

Abstract: We developed a technique to fabricate oxide thin films with uniaxially controlled crystallographic orientation and lateral size of more than micrometers on amorphous substrates. This technique is lateral solid-phase epitaxy, where epitaxial crystallization of amorphous precursor is seeded with ultrathin oxide nanosheets sparsely (≈10% coverage) deposited on the substrate. Transparent conducting Nb-doped anatase TiO2 thin films were fabricated on glass substrates by this technique. Perfect (001) orientation and large grains with lateral sizes up to 10 μm were confirmed by X-ray diffraction, atomic force microscopy, and electron beam backscattering diffraction measurements. As a consequence of these features, the obtained film exhibited excellent electrical transport properties comparable to those of epitaxial thin films on single-crystalline substrates. This technique is a versatile method for fabricating high-quality oxide thin films other than anatase TiO2 and would increase the possible applications of oxide-based thin film devices.

[ACS Nano free Supporting information] An alkaline-free glass substrate sparsely covered with Ca2Nb3O10 nanosheets was prepared by the same process described in the main text. Amorphous SrTiO3 (STO) precursor films were fabricated on the unheated substrate by pulsed laser deposition (PLD) with a single crystalline STO plate target. Partial oxygen gas pressure (PO2) was set at 10−3 Torr during the deposition. A 1-nm-thick STO secondary seed layer was also fabricated by PLD at TS = 400 °C prior to the deposition of the precursor film. The precursor film was crystallized by post-deposition annealing at 600 °C for 1 hour under H2 atmosphere (1 atm) in an infrared image furnace. After the annealing, the crystallographic structure and orientation of the film were determined by X-ray diffraction (XRD) measurements with a two-dimensional area detector. Figure S1a shows the θ-2θ XRD profile of the STO thin film fabricated on a glass substrate by NS-LSPE with the 1 nm-secondary seed layer. Only 100 and 200 diffraction peaks with spot-like shapes were recognizable, which indicates perfectly (100)-oriented growth of STO, as expected from good lattice-matching with Ca2Nb3O10 nanosheets (−1.0%). In contrast, in case of STO film fabricated directly on bare glass by solid phase crystallization, only Debye rings of 110 and 200 diffractions from randomly oriented grains were observed (Fig. S1b). These results verify the versatility of the NS-LSPE technique for oxide thin films other than TiO2.
 

Figure S1. θ-2θ XRD profile of STO thin films fabricated on glass substrate (a) by the NS-LSPE and (b) by conventional solid phase crystallization without nanosheets. The corresponding two dimensional area detector images are also shown. [ACS Nano free Supporting information]