Sunday, July 28, 2019

Strem offer hafnium metal alkylamide ALD precursors for emerging memory applications


Having been extensively studied over three decades for the replacement of silicon dioxide (SiO2) in conventional semiconductor fabrication, ALD Hafnium Oxide (HfO2) among other high-k dielectrics was finally adopted by Samsung in 2004 in high volume manufacturing at the 90 nm DRAM node as the high-k capacitor dielectric. The other DRAM companies followed, and later in 2007 Intel introduced ALD HfO2 at the 45 nm node as high-k gate dielectric. On account of its high dielectric constants (at least four times that of silicon dioxide) a thicker film of hafnium oxide can be used to achieve the same dielectric performance resulting from a thinner silicon dioxide layer without the associated high leakage current or even electron tunneling for ultra-thin dielectrics. High refractory oxides such as those of zirconium and hafnium also find uses in optical applications; as catalysts; and, because of their hardness and thermal stability, as protective coatings.


In recent years, hafnium oxide (as well as doped and oxygen-deficient hafnium oxide) has attracted additional interest as a possible candidate for resistive-switching memories (ReRAM) and CMOS-compatible ferroelectric field effect transistors and memory chips. A great example is Dresden based NaMLab and The Ferroelectric Memory Company (FMC) who are integrating HfO2 based ferroelectric transistor (FeFET) memory. The FeFET is a long-term contender for an ultra-fast, low-power, and non-volatile memory technology. In these devices, the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times and much lower power consumption at high data rates.
Moreover, in the framework of a project together with GLOBALFOUNDRIES and Fraunhofer IPMS, a one-transistor (1T) FeFET eNVM was successfully implemented in a 28 nm gate-first super low power (28SLP) CMOS technology platform using only two additional structural masks. Since then, other companies and researchers have followed and the “ferroelectric HfO2 community” have met on an annual basis in Dresden at the NaMLab annual Novel high-k workshop for more than a decade now. The most recent trend is that HfO2 may enter a 2nd time in DRAM manufacturing, this time as a high-k gate oxide for the select transistor.
When grown using chemical vapor deposition (CVD) from β-diketonate precursors, alkoxide precursors, and chloride precursors, a relatively high (typically greater than 300 °C) deposition temperature is required, which results in rough films because of their high crystallinities. ALD based HfO2 films employing both chloride and iodide precursors arefeasible as low as 180 °C, but then typically exhibit high incorporation of chlorine and iodine impurities. As for the CVD processes mentioned above, the high temperatures needed to prevent this contamination result in the formation of highly crystalline films with apparent surface roughness. Etching of the growing oxide surface by the precursors themselves also causes additional surface roughening and non-conformality. The etching might also explain the difficulty in depositing a conformal coating on high-aspect-ratio structures using these halide precursors. 

To address these concerns, Professor Roy G. Gordon and members of his laboratory at Harvard, in the late 1990s and early 2000s, invented metal alkylamide precursors to create thin films of high-k dielectric materials (e.g., HfO2, ZrO2). They also filed patents for their innovation at the US Patent Office in 2000.

The metal alkylamide precursors (e.g., TEMAHf) produce less corrosive byproducts compared with halide precursors (e.g., HfCl4). The byproducts themselves neither etch the adsorbates nor cause additional surface roughness, which in turn affords good conformal coating on high aspect ratio structures. As a liquid precursor, they can be easily vaporized, unlike solid HfCl4, yielding significant growth-per-cycle (GPC) due to high transport efficiency. There is no chlorine contamination in the deposited HfO2 film, which leads to reduced interface charges, improved dielectric properties, and suppressed leakage current. Metal alkylamides also exhibit higher reactivity, which enables lower deposition temperature. In general terms, the hafnium alkylamide precursors are preferred for high aspect ratio applications like DRAM and 3D MIM Capacitors, while for planar logic and FinFET devices hafnium chloride is typically used.

Strem Chemicals, Inc., a leading fine chemicals supplier, headquartered in Newburyport, Massachusetts, USA, boasts a vast variety of metal alkylamide precursors for depositing Hf-based materials in different applications. The table below outlines three Hf-based metal alkylamide precursors from Strem’s catalog.

Please find here one of the well-cited publications on ALD of HfO2 from Prof. Gordon’s Harvard laboratory. This article from 2002 takes advantage of the above three Hf alkylamides and water in deep trench DRAM structures (from Infineon) to study the properties of the deposited oxide films by Hausman et al.

About Strem Chemicals: Strem is an employee-owned specialty chemicals supplier, manufacturing, and marketing high quality specialty chemicals for more than half-a-century. They provide custom synthesis, and FDA inspected current good manufacturing practice (cGMP) services to their clients from academia, industry and government R&D labs. What distinguishes Strem from other chemicals suppliers is their continued commitment to quality. This help Strem deliver precursors with, by and large, 99% metals purity including some metal precursors with even 99.9999% purity. Strem offers over 5,000 specialty products in the area of metals, inorganics, organometallics, and nanomaterials. Strem’s product range includes:

 
 ----------
Promotional blog written and researched by Abhishekkumar Thakur and Jonas Sundqvist, BALD Engineering AB
 

Friday, July 26, 2019

SAVE THE DATE - AVS ALD2020 and ALE will be held June 28-July 1, 2020 in Ghent, Belgium

SAVE THE DATE - AVS ALD2020 and ALE will be held June 28-July 1, 2020 in Ghent, Belgium

The AVS 20th International Conference on Atomic Layer Deposition (ALD 2020) featuring the 7th International Atomic Layer Etching Workshop (ALE 2020) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching.  Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2020 Workshop (ALE 2020), so that attendees can interact freely. The conference will take place Sunday, June 28-Wednesday, July 1, 2020, at the International Convention Center in Ghent, Belgium.
As in past conferences, the meeting will be preceded (Sunday, July 28) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, June 29-July 1) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.


Source: AVS


Monday, July 22, 2019

Beneq unveils R2, a compact modular platform for ALD research and application development

Modular Design and Attractive Price Point Make Advanced ALD Research More Accessible Than Ever


The new Beneq R2 is physically unveiled at the Beneq showroom (3rd floor) of the ALD 2019 conference in Bellevue WA, between July 22-24.


Bellevue, Washington, USA – Beneq today unveiled R2, a compact modular platform that makes it dramatically easier for universities, research institutes and enterprises to start advanced ALD research. Completely redesigned from the ground up with ergonomics in mind, the basic R2 model comes fully equipped for thermal ALD. With flexible add-on options customers can easily expand to other advanced processes such as plasma, batch processing, powder ALD, and reduced flow.



Hear the story behind the product, from the team who created the Beneq R2.

For researchers entering the rapidly growing domain of ALD research, the investment in equipment has always been subject to great uncertainty. Academic researchers find themselves having to choose between a beginner’s system with heavily limited capabilities or a full-fledged system at a prohibitive price – often diminishing available budgets.

The R2 system is designed to offer full features of thermal ALD, at a compact enclosure and an attractive price point. Built with all industry-standard equipment, the R2 delivers outstanding value whether you are experimenting in ALD research, process engineering or application development. It is designed by the same team of experts who created the TFS 200, the premium clusterable ALD research tool preferred by universities and researchers worldwide. “The Beneq R2 carries the same heritage and know-how that has made Beneq the leader in ALD for the last 25 years,” said Patrick Gonzalez, Beneq’s Technical Sales Manager. “The basic R2 system comes configured right out of the box, so you can experiment with encapsulation, high k-dielectrics, and everything in between.”


Ergonomic Design


To create a minimalist product that is easy to use, Beneq completely redesigned the physical look of the R2. To minimize the amount of piping required, the R2’s liquid sources are made extremely compact and placed close to the vacuum chamber. Unlike conventional designs heat source cabinets are repositioned right next to the vacuum chamber at a convenient height, making it easier than ever to replace containers and operate the tool. On the R2 Beneq has created a patent-pending air-cooling system over the vacuum chamber, minimizing the risk of overheating and avoiding unintended injuries.

Easy Upgrades


With a revolutionary modular design the Beneq R2 offers customers a suite of expansion options for the vacuum chamber, hot sources, or process types such as reduced flow for high-aspect ratio structures. Users can select their own configuration when placing a new order, or choose to upgrade the tool later. To learn more, visit www.beneq.com/r2.


About Beneq


Beneq is the home of ALD, offering a wide portfolio of equipment products and development services. Today Beneq leads the market with innovative solutions for advanced R&D (TFS 200, R2), flexible high-volume manufacturing (ALD 3, ALD 4), ultra-fast high precision spatial ALD coatings (C2R), roll-to-roll thin film coating of continuous webs (WCS 600), and specialized batch production for thicker film stacks (P400, P800). Headquartered in Espoo, Finland Beneq is dedicated to making ALD technology accessible for researchers and providing the invisible advantage in emerging semiconductor applications.



Press Contact

Lie Luo

Beneq

lie.luo@beneq.com




In Situ Cu Surface Cleaning with Anhydrous Hydrazine highlighted at AVS ALD 2019 by University of Texas at Dallas and RASIRC

Copper replaced Aluminum for interconnects in the semiconductor industry due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability [1].

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.


Figure 1. Schematic of (a) RTALD system, (b) Process sequence, and (c) representative time sequence of stop valve process.

In summary, it could be shown that following an ozone treatment (Figure 1) a N2H4 treatment could effectively reduce the Cu2O to metallic Cu(0) from 150 – 200 oC. In addition, there was no detection of intermediate materials (e.g. Cu3N, Cu(OH)2, CuH, etc.). The following possible thermodynamic reaction is given CuO + Cu2O + N2H4 à 3Cu + 2H2O(g) + N2(g)

The details of the study will be presented at AVS ALD2019 and future work will be on potential application to Ru and Co cleaning/reduction, which have become important interconnect metals for 14/16 nm Logic and below, especially at the highly scaled lower metallization levels (M0 to M4).

References

1. R. P. Chaukulkar, N. F. W. Thissen, V. R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A, 32, 01A108 (2014).
2. Copper interconnects, Wikipedia LINK: https://en.wikipedia.org/wiki/Copper_interconnects
3. Y.-L. Cheng, C.-Y. Lee, and Y.-L. Huang, in Noble and Precious Metals-Properties, Nanoscale Effects and Applications, M. Seehar and A. Bristow, Editors, p. 216–250, Intechopen (2018).
4. C. K. Hu et al., Microelectron. Eng., 70, 406–411 (2003).
5. L. F. Pena, J. F. Veyan, M. A. Todd, A. Derecskei-Kovacs, and Y. J. Chabal, ACS Appl. Mater. Interfaces, 10, 38610–38620 (2018).
6. Y. Chang, J. Leu, B.-H. Lin, Y.-L. Wang, and Y.-L. Cheng, Adv. Mater. Sci. Eng., 2013, 1–7 (2013).
7. D. M. Littrell, D. H. Bowers, and B. J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases, 83, 3271–3282 (1987).