Thursday, May 18, 2023

ALD/CVD Precursors – Better Times Ahead

Market expected to rebound with memory pricing recovery

San Diego, CA, May 16, 2023: TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting semiconductor precursor revenues, both for high-ƙ metal dielectrics and low-ƙ dielectrics, to increase in the 2nd half of 2023, rebounding from the current 0% growth rate. The current market flattening is due to reduced memory pricing in production (DRAM and 3DNAND), as explained in TECHCET’s ALD/CVD Precursors Critical Materials Reports™. In 2027, TECHCET expects the revenues of both the high-ƙ and low-ƙ dielectric precursors to rebound significantly, reaching ~19% growth, as shown in the graph below.



The market is forecasted to also rebound from the current wafer start downturn in 2024. With expansions in 2nm and 3nm logic devices, logic wafer starts below 45nm can reach >7% CAGR in 2027. Additionally, logic growth using more mask layers will drive the demand for metal and dielectric precursors related to patterning and low-k. DRAM is also undergoing a transition to EUV (ALD/CVD hardmasks). Continued scaling of 3DNAND by all global fabricators to above 352-368 layers (using four stacks) continues to move even higher, with expectations of >500 layers by 2030. This also continues to drive the need for dielectric stack deposition, high aspect ratio etch (RIE), and deposition (ALD).

Full implementation of High-k/Metal Gate is driving demand for hafnium precursors as well. This has led to continued supply chain issues for hafnium, especially from major surges in aerospace industry demand. While many other strategic metals and rare earths used for semiconductor production primarily rely on China, hafnium does not. “China currently produces hafnium to satisfy its own demand, and demand from the West is met by production from France, the US, and ongoing expansion in Australian mining operations in the New South Wales Dubbo project,” says Jonas Sundqvist, Senior Technology Analyst at TECHCET.

For more details on the Precursor market segment and growth trajectory, including profiles on suppliers like Adeka, Air Liquide, Entegris, Hansol Chemical, and more, go to: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact info@cmcfabs.org, +1-480-332-8336, or go to www.techcet.com.

2 comments:

  1. Today is the happiest day of my life, because problems I have been battling
    with over the years are now solved. My wife almost left me because she was
    unable to put in (pregnant) as result of my weak erection and premature
    ejaculation, I looked everywhere for a solution all to no avail, I have
    even been scammed severally before I met a Man called dr Austin who put an
    End the problem. If you are having erectile dysfunction contact him with this email draustinenlargementhome@gmail.com
    whatApps him through +2347061508037.
    he also specialize on the following things
    PENILE/PENIS ENLARGEMENT
    Gustatory Cure
    Diabetes Cure
    GENERAL BODY Herpes cure
    Thanks for the Enlarging my penis sir, you indeed save my marriage...I am really grateful sir,

    ReplyDelete