Sunday, November 19, 2017

Atomic Layer Etching is entering HVM for sub 14 nm Logic

After years in R&D, several fab tool vendors last year finally began to ship systems based a next-generation technology called atomic layer etch (ALE).

ALE is is moving into 16/14nm, but it will play a big role at 10/7nm and beyond. The industry also is working on the next wave of ALE technology for advanced logic and memory production.

Used by chipmakers for years, traditional etch systems remove materials on a continuous basis in devices. Considered a next-generation etch technology, ALE selectively removes targeted materials at the atomic scale without damaging other parts of the structure. For example, ALE can be used to remove materials in a structure to form trenches with gaps on the order of 10 to 15 angstroms or 5 atoms wide. (An angstrom is 0.1nm.)

Applied Materials Centris Sym3 is one of the Applied Materials offering for ALE. It can be configured to handle plasma ALE for anisotropic etch applications, such as self-align contacts and spacer-based patterning.

Applied Materials, Hitachi High-Technologies, Lam Research and TEL are among the suppliers of ALE tools in the market. Today, ALE represents a tiny percentage of the overall etch market. In total, the worldwide dry etch business is projected to reach $9.6 billion in 2017, up from $7.2 billion in 2016, according to Gartner.

Full article :  "What’s Next For Atomic Layer Etch?" by Mark Lapedus, Semiconductor Engineering (LINK)





No comments:

Post a Comment