Showing posts with label RASIRC. Show all posts
Showing posts with label RASIRC. Show all posts

Monday, March 1, 2021

Welcome to the RASIRC ALD Oxide Wizard

Welcome to the RASIRC ALD Oxide Wizard. The wizard compares the reactivity of water and hydrogen peroxide for 285 different precursors.
  • Direct theoretical comparison between water and hydrogen peroxide
  • Allows for rapid screening of possible precursors
  • Allows for lower cost precursors to be used where previous water reactivity was too low
  • Allows for visualization of precursors to better understand steric hindrance effects
Starting with the five of the most common metals used in Atomic Layer Deposition (ALD) and 57 common ligands, the wizard creates the desired precursor, visualizes the precursor molecule, and concludes with a determination of reaction energetics (favorable/less favorable) in terms of intrinsic energy changes ∆E added or released in the creation of the metal oxide with H2O2 or water. The wizard allows you to make modifications to the ligand and see the effects on reactivity. Edit your design as many times as you want; when you have your desired reaction, choose the print option.

Enter The RASIRC ALD Oxide Wizard HERE!


Example using the HfI4 H2O vs H2O2 going from -30.3 to -180.5 kJ/mol - The more negative the value, the more thermodynamically likely the reaction is to occur.



Thursday, December 17, 2020

Low Resistivity Titanium Nitride Thin Films ALD realized by RASIRC Brute® Hydrazine vaporization technology

TiN ALD is one of the most important ALD processes in high volume manufacturing in the semiconductor industry for more than 15 years. Most Tier 1 ALD equipment manufacturers (e.g. ASM International, Tokyo Electron , Applied Materials, Lam Research, Kokusai, Jusung Engineering, Wonik IPS, Picosun) has TiN ALD and PEALD in their process portfolio for 300 mm wafer productions targeting the Logic, 3DNAND and DRAM fab customers (e.g. Intel, Samsung, TSMC, SK Hynix, Micron, Globalfoundries, Toshiba, TI) because the metallic film has proven to be highly flexible metal film due to:

  • Relatively cheap precursor, mainly TiCl4 and TDMAT, as compared to the much more expensive precursors with lower vapor pressure for tantalum metal nitrides (PDMAT) and metals like Co (CCTBA) and Ru (RuCp´s). 
  • High vapor pressure and reactivity allowing fast conformal processing bay both CVD, pulsed CVD and ALD for TiCl4/NH3 based processes 
  • Possibility to tune low resistivity films however at relatively high temperatures (TiCl4/NH3) not allowing for BEOL thermal budget requirements (<390 °C) 
  • Excellent barrier properties hindering metal diffusion (TDMAT and TiCl4) 
  • Metal gate work function tuning by doping and partial controlled oxidation 
  • Oxygen gettering driving excess oxygen from the gate oxide channel interface into the metal gate reducing the CMOS device EOT. 
  • Mini Batch and Large Batch processing capability (e.g. TEL Indy, ASM A412, Kokusai ALDina, Picosun Sprinter)

Due to low resistivity, titanium nitride (TiN) thin films are in production as the diffusion barrier for Cu, Co and W as well as the gate metal barrier in CMOS. However, as mentioned, for high aspect ratio features, thermal ALD deposition  is needed because of high conformality. Therefore, it is very important to develop thermal ALD TiN processes further to improve the capacitor electrode, barrier and CMOS metal gate properties to perfection.


Cheng-Hsuan Kuo and co-workers at UCSanDiego in the Kummel research group, has recently concluded a study on TiN ALD utilizing the RASIRC BruteÒ Hydrazine (N2H2) vaporizer technology, which is presented this week at IEEE SISC December 16-18 (LINK).

In the work, titanium tetrachloride (TiCl4) and anhydrous hydrazine (Rasirc, Brute HydrazineÒ) were employed as the precursors with ultra-high purity nitrogen purge gas.

  • The TiN ALD chamber was connected to an in-vacuo Auger Electron Spectrometer (RBD Instruments), which was used to determine the atomic composition of ALD. (Fig. 1)
  • The sample was biased at -100V DC and Ar plasma (50W) was used to remove the surface oxides and impurities. (Fig. 2)
  • To determine resistivity, four-point probe (Ossila) measurements were performed on TiN thin films on degreased SiO2 substrates. (Fig. 3)
  • Scanning electron microscopy (SEM), ellipsometry, and X-ray reflectivity (XRR) were used to measure TiN film thicknesses. (Fig. 4)




Fig.1 Auger Electron Spectroscopy of TiN at different sputtering time.(oxygen and carbon contents are listed)


Fig. 2 Oxygen concentration and resistivity vs pulse length at 300 °C 



Fig. 3. Oxygen concentration and resistivity vs pulse length at 350 °C 



Fig.4 X-Ray Reflectivity (XRR) of the 350 oC TiN film with optimal pulse lengths 

To conclude, these experiments indicate that minimizing oxygen concentration is key in producing TiN thin films with desirable electrical properties.

The optimal resistivity of the TiN deposited at 350oC was 160 micro-ohm-cm which is the lowest reported resistivity of any TiN film deposited by thermal ALD.  As stated above the importance of 3D process capability can be met by having TiN thin films synthesized by using thermal ALD and post-plasma treatment reducing oxygen concentration and impurities potentially in very high aspect ratio structures such as contact holes, FinFET, Gate all around FETs, vias, DRAM capacitors structures as well as 3DNAND metal gates and contacts.

References

[1] C. H. Ahn. et al. Metals and Materials International, 7 (2001)

[2] Steven Wolf et al. Applied Surface Science 462 (2018)

Acknowledgements

This work was supported in part by the SRC

LINKS

UCSanDiego 

Kummel research group

EEE SISC December 16-18 (LINK).




Monday, July 22, 2019

In Situ Cu Surface Cleaning with Anhydrous Hydrazine highlighted at AVS ALD 2019 by University of Texas at Dallas and RASIRC

Copper replaced Aluminum for interconnects in the semiconductor industry due to its low resistivity, high resistance to electromigration, low temperature coefficient of resistance, and good thermal stability [1].

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.

Due to the lack of volatile copper compounds, copper could not be patterned by the techniques of photoresist masking and plasma etching that had been used for aluminum. The inability to plasma etch copper meant that the whole metal patterning process had to be redesigned and the result was a process referred to as an additive patterning, also known as a "Damascene" or "dual-Damascene" process by analogy to a traditional technique of metal inlaying. [2]

However, the exposed Cu interconnects during via-opening and post CMP process are vulnerable to oxidation with water rinse and exposure to air, resulting in reliability degradation [3]. Therefore, additional process for reduction of copper oxide should be required. The cleaning of copper can be achieved by either physical Ar sputtering or chemical reduction process [4]. Recent demonstration of chemical-based cleaning of Cu interconnects is expected to overcome disadvantages of physical Ar sputtering process, such as chamfering and re-deposition on vias and trenches. A number of studies on vapor-based reduction of copper oxide under ambient pressure conditions and at temperatures below 350 °C using hydrogen, ammonia, carbon monoxide, forming gas, acetic acid, formic acid, and ethanol as reducing agents have been reported [5,6]. On the other hand, Hydrazine (N2H4) can be used in the reduction of copper oxide due to its higher reduction capability [7].

Inspired by hydrazine’s unique characteristics, University of Texas at Dallas and RASIRC have explored the feasibility of vapor-phase reduction of copper oxide using anhydrous N2H4 to achieve an ideal metallic Cu film in an ALD environment.


Figure 1. Schematic of (a) RTALD system, (b) Process sequence, and (c) representative time sequence of stop valve process.

In summary, it could be shown that following an ozone treatment (Figure 1) a N2H4 treatment could effectively reduce the Cu2O to metallic Cu(0) from 150 – 200 oC. In addition, there was no detection of intermediate materials (e.g. Cu3N, Cu(OH)2, CuH, etc.). The following possible thermodynamic reaction is given CuO + Cu2O + N2H4 à 3Cu + 2H2O(g) + N2(g)

The details of the study will be presented at AVS ALD2019 and future work will be on potential application to Ru and Co cleaning/reduction, which have become important interconnect metals for 14/16 nm Logic and below, especially at the highly scaled lower metallization levels (M0 to M4).

References

1. R. P. Chaukulkar, N. F. W. Thissen, V. R. Rai, and S. Agarwal, J. Vac. Sci. Technol. A, 32, 01A108 (2014).
2. Copper interconnects, Wikipedia LINK: https://en.wikipedia.org/wiki/Copper_interconnects
3. Y.-L. Cheng, C.-Y. Lee, and Y.-L. Huang, in Noble and Precious Metals-Properties, Nanoscale Effects and Applications, M. Seehar and A. Bristow, Editors, p. 216–250, Intechopen (2018).
4. C. K. Hu et al., Microelectron. Eng., 70, 406–411 (2003).
5. L. F. Pena, J. F. Veyan, M. A. Todd, A. Derecskei-Kovacs, and Y. J. Chabal, ACS Appl. Mater. Interfaces, 10, 38610–38620 (2018).
6. Y. Chang, J. Leu, B.-H. Lin, Y.-L. Wang, and Y.-L. Cheng, Adv. Mater. Sci. Eng., 2013, 1–7 (2013).
7. D. M. Littrell, D. H. Bowers, and B. J. Tatarchuk, J. Chem. Soc. Faraday Trans. 1 Phys. Chem. Condens. Phases, 83, 3271–3282 (1987).


Thursday, July 11, 2019

RASIRC Utilizes Novel Safe Hydrazine Delivery System to Enable Low Temperature Group III Metal-Nitride Deposition

Company presents AlN deposition results at International Conference on Nitride Semiconductors

San Diego, Calif – July 8, 2019 – RASIRC will discuss the benefits of anhydrous hydrazine as a nitrogen source at the 13th International Conference on Nitride Semiconductors (ICNS-13) in Bellevue, WA on July 7–12. RASIRC Chief Technology Officer Dr. Daniel Alvarez will present “Low Temperature Aluminum Nitride Deposition Enabled by Hydrazine” during the event’s poster session on Tuesday July 9. The poster will compare growth characteristics and film properties for TMA/Brute Hydrazine versus TMA/Ammonia. 
“To date, low temperature III-Nitride deposition has been plagued by low growth rates and unwanted residual carbon and hydrogen remaining from precursor materials. Utilization of hydrazine is thermodynamically more favorable due to the reactive nature of the N-N bond,” says Alvarez. “Hydrazine has been around for many years, but is only now ready for III-Nitride manufacturing thanks to safety and contamination issues addressed by RASIRC.”

RASIRC President and Founder Jeffrey Spiegelman adds, “The use of hydrazine will enable our customers to have larger process windows while reducing costly precursor consumption found with sources like Indium.”

ICNS-13 will present high-impact scientific and technological advances in materials and devices based on group-III nitride semiconductors, and will feature plenary sessions, parallel topical sessions, poster sessions and an industrial exhibition. The ICNS-13 Exhibit offers the most direct access to researchers from around the world who are seeking technical solutions to their challenges.

Dr. Alvarez will be available at ICNS-13 to discuss the RASIRC precursor chemistry product line-up, which includes hydrazine, hydrogen peroxide and more. Information about RASIRC products will also be available in the Matheson Gas exhibition booth.

About RASIRC Products

BRUTE® Hydrazine enables uniform nitride deposition for Silicon and Group III metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

BRUTE Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is more dense and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This enables better selectivity and less damage to metal surfaces in ASD processes.

RASIRC Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures. Additional RASIRC products include the RainMaker® Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, wet thermal oxidation and residual carbon removal.

Monday, June 24, 2019

RASIRC Peroxidizer – the preferred way for stable on demand delivery of highly reactive H2O2-ALD precursor

Thin film titanium dioxide (TiO2) is one of the necessary materials with numerous uses in the electronics, semiconductor, and display industry. TiO2 is a high-k material (k>90) and can, therefore, be used stand-alone or laminated with other high-k metal oxides (e.g., Al2O3, HfO2, ZrO2, SrO) for capacitor application in DRAM or embedded decoupling capacitors or energy storage. Due to excellent water vapor penetration properties, TiO2 is often employed as a barrier layer together with Al2O3 for very thin barrier systems on flexible electronics and display technologies. Besides these applications, there is a growing interest also in battery applications and coating medical implants and electronic devices with ALD TiO2 – even encapsulation of medicament particulates (ref. Nanexa AB, LINK).

One of the critical TiO2 thermal ALD processes is employing titanium isopropoxide (TTIP) and water as precursors, allowing deposition of high-quality barrier well below 200 °C. However, the TTIP low-temperature ALD processes suffer from very low GPS (<0.5 Å), which is terrible for throughput.


The ALD process window showing GPC (left) and refractive index (right) as a function of process temperature for H2O, O3 and H2O2 TDMAT based ALD of TiO2.

Another commonly used titanium precursor is TDMAT with H2O or O3 as co-reactants resulting in relatively higher GPC in the temperature range 100 to 200 °C, commonly used for flexible devices.

Ozone is typically losing its oxidation power at the lowest temperature range, at 100 °C and below which is needed for processing on e.g., OLED display devices. Therefore most ALD processes for metal oxides use H2O as co-reactant for low temperature.

Hydrogen peroxide (H2O2), which has a higher oxidation potential than H2O has shown many promising results in research and previous studies by RASIRC (Reference "Cheating Raoult’s Law"). In a most recent study by RASIRC and TNSC, results showcase clearly that the TDMAT ALD-TiO2 process is improving compared to H2O and O3 based processes:
  • Higher GPC 
  • Denser layers as indicated by the higher index of refraction, especially at low temperature (100 °C) Much lower wet etch rate (WER) 
  • Improved purity – less nitrogen and carbon incorporation, which is the driver for low WER.


RASIRC, has a mature delivery method for concentrated H2O2 – The Peroxidizer, which is an integrated source and delivery unit that can be facilitated as a stand-alone H2O2 delivery unit or integrated into the ALD tool gas and precursor delivery system. 

The Peroxidizer delivers hydrogen peroxide gas concentrations from 12,500 to more than 50,000 ppm depending on flow rate. Carrier gas flow rates can range from 5 to 20slm supplying into both vacuum and atmospheric pressures. The Peroxidizer adjusts the temperature to achieve the desired output.

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration H2O2 gas into ALD, annealing, dry surface preparation and cleaning processes.

The three key advantages of the Peroxidizer delivery technology are:

Higher concentration - The Peroxidizer delivers 10x concentration of hydrogen peroxide gas at a given temperature and delivers droplet-free gas at temperatures as low as 80 °C. The Peroxidizer delivers up to 5% hydrogen peroxide gas by volume from 30% hydrogen peroxide liquid solution.

Stronger Oxidant - Hydrogen peroxide gas readily converts to highly reactive OH radicals, creating a high-density ALD nucleation and faster reactions with precursors. Oxygen plasma can penetrate below the interface layer, damaging the bottom electrode and surface structures. Plasma cannot reach the bottom of deep structures as it requires line-of-sight, so coatings may be non-uniform, favoring the top of the structure.

Lower Temperature - High concentration hydrogen peroxide gas delivered by the Peroxidizer creates a dense hydroxylated layer at a lower operating temperature than other oxidants. To achieve the same level of reactivity, water requires higher process temperatures that are not compatible with new materials.

Finally, The Peroxider always beat the Bubbler and the Vaporizer because it enables on-demand stable flow control and no particle generation due to liquid micro-droplet formation. RASIRC will be presenting results about the Peroxidizer at the upcoming EuroCVD22/Baltic ALD 16 conference in Luxemburg (LINK).

More information

info@rasirc.com
0858.259.122
7815 Silverton Avenue
San Diego, CA 92126
USA
 

About RASIRC

RASIRC products generate and deliver gas to fabrication processes. RASIRC technology delivers water vapor, hydrogen peroxide and hydrazine gas in controlled, repeatable concentrations.