Saturday, October 2, 2021

Swedish Semiconductor Startup AlixLabs Raises USD 1 Million Seed Capital

TUE, SEP 28, 2021 00:01 CET, Almi Invest is investing close to SEK 3 million in AlixLabs, which is developing a new method for manufacturing semiconductor components cheaper and faster. Private investors, including NHL professionals Michael, Alexander and William Nylander, are also participating in the issue for a total of SEK 9 million (USD 1 million). The funds will be used for product development and validation of the APS-technology.

Semiconductor components build up the computer chips found in virtually all electronic products, such as smartphones, servers, game consoles, computers and cars. They can be described as the brain and memory that make electronic products work.Today's semiconductor components are extremely small, making them more difficult and expensive to manufacture. The industry is working to cope with this challenge through new and innovative approaches. Common to these new methods is that they are complex and in most cases extremely expensive.

Now AlixLabs from Lund, Sweden, has developed a new, innovative method for manufacturing semiconductor components with a high degree of packing, which eliminates several steps in the manufacturing process - Atomic Layer Etch Pitchsplitting (APS)*. The method makes the components cheaper and less resource-intensive to manufacture and can open up a new path for a more sustainable mass production of electronics. The method also makes it possible to manufacture extremely small semiconductor components in an accurate and efficient way, which means that also smaller production facilities in, for example, Europe will be able to manufacture the components with manageable investments. Today, basically all production of leading edge semiconductors takes place in the USA and Asia, mainly in Taiwan and South Korea.


Dr. Jonas Sundqvist, CEO of AlixLabs AB.

- AlixLab's disruptive manufacturing method has enormous market potential and enables continued technology development and more powerful electronics that are at the same time much more resource-efficient, says Anna Gisselsson, Investment Manager at Almi Invest.The company's method is covered by an approved patent in the United States* and it has additional patent applications in other countries.

- Thanks to this investment, we can take the company to the next level, says Dr. Jonas Sundqvist, CEO of AlixLabs. Today, we have a number of ongoing negotiations with R&D actors, equipment and semiconductor manufacturers to create a basis for further cooperation for the development of our products. In the longer term, we want to create an ecosystem covering the complete value chain for APS and we hope to have a strong base in Europe with a global reach.

.- The R&D from these funds will allow us to strenghten and expand our IP portfolio for our properitary APS-technology, says Dr. Dmitry Suyatin, CTO of AlixLabs.


From left to right, Dr. Dmitry Suyatin, CEO, Dr. Mohammad Karimi R&D and Operations Manager, and Dr. Jonas Sundqvist, CEO.


AlixLabs Board of directors: https://www.alixlabs.com/who-we-are

* Atomic Layer Etch Pitch Splitting (APS). As previously reported (April 30th, 2021) The US Patent Office has approved AlixLabs’s patent application for nanofabrication by ALE Pitch Splitting (APS). The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method has the potential to have a big impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as multiple exposure lithography-etch and directed self-assembly (DSA).

Jonas Sundqvist, CEO of AlixLabs, phone +46 767 63 94 67, email jonas@alixlabs.com

AlixLabs (www.alixlab.com) is an innovative startup founded in 2019 in Lund, Sweden, enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Thursday, September 30, 2021

ALD is everywhere - Take us it away, Picosun, born in Finland, born to ALD

ALD as a technology is not widely known to every man on the street. However, a new video shows how we are surrounded by it in our everyday lives.

Take us it away - Picosun, born in Finland, born to ALD



ALD Stories Ep 6 - ALD Precursor Design for Hard to Deposit Metals with Prof. Chuck Winter

Tyler sits down with Wayne State University’s Chuck Winter to talk about his approach to designing precursors for ALD. Chuck explains what makes a good ALD precursor and how elegant organic chemistry can enable ALD processes for hard-to-deposit metals, like cobalt and aluminum. 

Learn more about Chuck’s research here: http://www.clas.wayne.edu/wintergroup/ 

Papers mentioned in this episode: 




Selectivity Enhancement for Ruthenium Atomic Layer Deposition in Sub-50 nm Nanopatterns by Diffusion and Size-Dependent Reactivity

Area-selective deposition (ASD) is a promising bottom-up approach for fabricating nanoelectronic devices. The challenge is to prevent the undesired growth of nanoparticles in the nongrowth area. 

In this work from Belgium and The Netherlands research teams, linewidths of 50 nm and smaller, all Ru adspecies are captured at the growth interface before growth by precursor adsorption is catalyzed. This is more than 1000 times lower than for patterns with a linewidth of 200 nm and larger, where the Ru content decreases significantly only near the interface with the growth surface. 

The predicted depletion zone is confirmed by experiments in nanoscale line-space patterns. Overall, this mechanism results in smaller and fewer Ru nanoparticles for smaller patterns, facilitating the development of passivation-deposition-etch ASD processes for nanoelectronic device fabrication.

Selectivity Enhancement for Ruthenium Atomic Layer Deposition in Sub-50 nm Nanopatterns by Diffusion and Size-Dependent Reactivity

Jan-Willem J. Clerix, Esteban A. Marques, Job Soethoudt, Fabio Grillo, Geoffrey Pourtois, J. Ruud Van Ommen, Annelies Delabie,
3 September 2021




Area-selective deposition (ASD) is a promising bottom-up approach for fabricating nanoelectronic devices. However, a challenge is to prevent the undesired growth of nanoparticles in the nongrowth area. This work uses kinetic Monte Carlo (KMC) methods to investigate the defectivity in ruthenium ASD by (ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru/O2 (EBECHRu) atomic layer deposition (ALD) in line-space nanopatterns with different dimensions. Ru ASD is governed by adsorption as well as diffusion. The defectivity depends on the pattern dimensions, as nanoparticles can diffuse and reach the interface with the growth area where they aggregate. For linewidths of 50 nm and smaller, all Ru adspecies are captured at the growth interface before growth by precursor adsorption is catalyzed. The synergetic effect of diffusion and size-dependent reactivity reduces defectivity below 1010 Ru atoms cm−2 for at least 1000 ALD cycles. This is more than 1000 times lower than for patterns with a linewidth of 200 nm and larger, where the Ru content decreases significantly only near the interface with the growth surface. The predicted depletion zone is confirmed by experiments in nanoscale line-space patterns. Overall, this mechanism results in smaller and fewer Ru nanoparticles for smaller patterns, facilitating the development of passivation-deposition-etch ASD processes for nanoelectronic device fabrication.


Wednesday, September 29, 2021

Status & Challenges in Today's Atomic Layer Processing Market J. Sundqvist (Keynote TECHCET LLC CA)

ALD at ECS is coming up and it is for free! Besides all the interesting sessions on ALD there is one on ALD Present and Future. I look forward to meet you there in Florida and give one of the Keynotes on Status & Challenges in Today's Atomic Layer Processing Market followed by much more interesting talks by Stacy Bent (Stanford University) and Mattia Pasquali (Imec)


Monday, 11 October 2021


Sunday, September 19, 2021

1 min introduction of Han Bo Ram Lee Lab (HBRLRG, Korean version)

Even if you do not speak Korean you can understand almost everything in this amazing video from Han-Bo-Ram Lee lab - stay tuned for the English version!



ALD can improve surgical tools like scalpel blades and much more

A recent article published in MDPI (LINK) discusses a study where zinc oxide thin film was deposited on surgical knife blades with ALD. The study shows that surgical instruments coated with non-allergenic metal oxide coatings containing metal structures that reduce the growth of bacteria could significantly decrease the risk of undesirable reactions of the body during and after surgery.


"The use of ALD methods in medicine allows us to enter a completely new generation of in vivo medicine. The ALD method makes it possible to meet the high requirements regarding mechanical and anti-corrosion properties, chemical and thermal resistance, as well as biocompatibility for tools used in medicine."

Here ALD coatings performed in a Picosun R 200 System have been investigated by Polish researchers.

Application of ALD Thin Films on the Surface of the Surgical Scalpel Blade

1
Department of Engineering Materials and Biomaterials, Silesian University of Technology, Konarskiego 18a Str., 44-100 Gliwice, Poland
2
Scientific and Didactic Laboratory of Nanotechnology and Material Technologies, Faculty of Mechanical Engineering, Silesian University of Technology, Towarowa 7 Str., 44-100 Gliwice, Poland
3
Faculty of Biomedical Engineering, Silesian University of Technology, Roosevelta 40, 41-800 Zabrze, Poland
*
Author to whom correspondence should be addressed.
Academic Editor: Angela De Bonis
Coatings 202111(9), 1096; https://doi.org/10.3390/coatings11091096
Received: 11 August 2021 / Revised: 3 September 2021 / Accepted: 7 September 2021 / Published: 11 September 2021


Saturday, September 18, 2021

University of Helsinki presents Self-Aligned Thin-Film Patterning by Area-Selective Etching of Polymers

A promising path to cut cost, scale, and reduce the environmental impact of semiconductor manufacturing

One of the driving costs in the high volume production of semiconductor components for especially powerful processors and memory chips is the patterning process. Both the capital investment in photolithographic equipment and the design cost add to the escalating cost going down to smaller nodes (see figure below). If one can reduce the number of lithographic mask layers needed in the production for a chip design one automatically cut the overall cost. Another problem is that while scaling down designs to smaller critical dimensions and tighter pitches and scaling up in the 3rd dimension like for 3D-NAND and coming 3D-DRAM it becomes more difficult to match the next mask layer with the previous one. The industry has solved this issue successfully for many years by introducing self-aligned processes like self-aligned contacts to the source, drain, and gate of the transistors below. Also, selective deposition processes like selective Epi and Cobalt CVD caps on copper are in production.

From an environmental view, lithography and mask more mask layers also consume more energy and clean water. Recent reports from Taiwan have it that both are problems, where drought has led to water shortages and the overall energy demand from fabs are high (about 5% of Taiwan total demand in 2019). 

Here, the University of Helsinki presents a process sequence for the future that is self-aligned and selective making it possible to mitigate all those problems in a very clever way for future devices and metallization schemes - please find all the details in the article below that is open source for download.

Self-Aligned Thin-Film Patterning by Area-Selective Etching of Polymers

by Chao Zhang, Markku Leskelä and Mikko Ritala *

Coatings 2021, 11(9), 1124; https://doi.org/10.3390/coatings11091124

Patterning of thin films with lithography techniques for making semiconductor devices has been facing increasing difficulties with feature sizes shrinking to the sub-10 nm range, and alternatives have been actively sought from area-selective thin film deposition processes. Here, an entirely new method is introduced to self-aligned thin-film patterning: area-selective gas-phase etching of polymers. The etching reactions are selective to the materials underneath the polymers. Either O2 or H2 can be used as an etchant gas. After diffusing through the polymer film to the catalytic surfaces, the etchant gas molecules are dissociated into their respective atoms, which then readily react with the polymer, etching it away. On noncatalytic surfaces, the polymer film remains. For example, polyimide and poly(methyl methacrylate) (PMMA) were selectively oxidatively removed at 300 °C from Pt and Ru, while on SiO2 they stayed. CeO2 also showed a clear catalytic effect for the oxidative removal of PMMA. In H2, the most active surfaces catalysing the hydrogenolysis of PMMA were Cu and Ti. The area-selective etching of polyimide from Pt was followed by area-selective atomic layer deposition of iridium using the patterned polymer as a growth-inhibiting layer on SiO2, eventually resulting in dual side-by-side self-aligned formation of metal-on-metal and insulator (polymer)-on-insulator. This demonstrates that when innovatively combined with area-selective thin film deposition and, for example, lift-off patterning processes, self-aligned etching processes will open entirely new possibilities for the fabrication of the most advanced and challenging semiconductor devices.


Schematics showing self-aligned polymer etching and the subsequent film patterning through area-selective deposition and lift-off processes. (Zhang et al Coatings 2021, 11(9), 1124, figure 1)

This is an open access article distributed under the Creative Commons Attribution License which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited

Semiconductor design and manufacturing: Achieving leading-edge capabilities, McKinsey LINK

Wednesday, September 15, 2021

Problem solved - In0.5Ga0.5N layers by Atomic Layer Deposition!

Pedersen Group at Linköping University, Sweden, present an ALD approach to metastable In1-xGaxN with 0.1 < x < 0.5 based on solid In- and Ga-precursors that were co-sublimed into the deposition chamber in one pulse. A near In0.5Ga0.5N film with a bandgap of 1.94 eV was achieved on Si (100) substrate. Epitaxial In1-xGaxN (0002) was successfully grown directly on 4H-SiC (0001).

In0.5Ga0.5N layers by Atomic Layer Deposition
P. Rouf, J. Palisaitis, B. Bakhit, N. J. O'Brien and H. Pedersen, J. Mater. Chem. C, 2021, DOI: 10.1039/D1TC02408F. (LINK)



a) Cross-sectional STEM-HAADF image of the ~60 nm In1-xGaxN film on 4H-SiC substrate with a zoomed in image of the b) In82Ga18N and c) In18Ga82N layers. d) SAED pattern from the film and substrate. EDX maps of Ga e), In f) and Si g). EELS maps of N h) and C i).

Tuesday, September 7, 2021

Picosun Innovation Lab, opened in September 2021

Picosun Innovation Lab, opened in September 2021, will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.




ESPOO, Finland, 7th of September 2021 – Picosun Group has taken into use new facilities at its production laboratory in Kirkkonummi, Finland. The Picosun Innovation Lab will be used for the company’s own research and development projects, for demo purposes and most importantly for serving the company’s global semiconductor customers operating in the 300 mm market.

The Innovation Lab hosts Picosun’s new generation tools PICOSUN® Morpher and PICOSUN® Sprinter. Morpher was launched in 2019 and it started a completely new era in Picosun products. Its adaptive and versatile nature makes it an ideal ALD solution for to the changing needs of different business verticals in the up to 200 mm wafer industries. Sprinter was launched late 2020 for the 300 mm wafer markets to meet the ever-increasing demands of semiconductor, display and IoT component manufacturing lines. It has brought single wafer film quality and uniformity for fast batch processing and met the challenges in high volume ALD manufacturing.

The Innovation Lab increases the laboratory capacity Picosun currently has on its premises significantly. The new facilities will have the ability to host tens of ALD tool modules. The facilities also support a variety of process gases including for example N2, O2, O3, Ar, H2, NH3 and NF3. Furthermore, special attention has also been paid for the best-in-class building management and safety systems.

“The opening of the new Innovation Lab reflects our role in being the pioneer in ALD and continuing the daily work in setting the standards for future innovations in the ALD sphere. The Innovation Lab has been a big investment for the company, but we see this as an essential investment to our and our customers’ future”, says Jussi Rautee, CEO of Picosun Group.

Friday, September 3, 2021

The world’s largest ALD system - The BENEQ P1500 is here!

Now it is here - The Beneq P1500 is the biggest ALD system and is built specifically to coat sizeable sheets and complex parts. It is also made to deliver increased throughput for batches of smaller components.





Beneqs customers use the P1500 for optical coatings on large diameter substrates, anticorrosive coatings of semiconductor equipment parts, and various applications where ALD is used on glass or metal sheets.


Large parts need large ALD tools. The Beneq P1500 can accommodate parts up to 1300 × 2400 mm in size, and enables the deposition of high-quality, functional optical coatings on wide area mirrors or lenses. It is also used to coat batches of parts in the 300 to 1000 mm size range.

More information: LINK





Wednesday, September 1, 2021

Picosun strengthens its position in the semiconductor market

ESPOO, Finland, 31st of August 2021 – Picosun Group strengthens its position in the 300 mm semiconductor market with its new generation ALD tool PICOSUN® Sprinter.


PICOSUN® Sprinter was first launched in December 2020 as a stand-alone module. Now also customer deliveries and installations of PICOSUN® Sprinter clusters have started.

“A Sprinter cluster consist of two Sprinter modules and a central vacuum wafer-handling robot utilizing 5-wafer handling. The set-up enables a throughput of more than 100 wafers an hour with 10 nm aluminium oxide target film thickness”, explains Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

“The throughput capability combined with the unique design of the tool’s reaction chamber, the record-breaking batch film quality and the fact that the tool can be fully integrated with the customers’ production line, makes PICOSUN® Sprinter the tool of choice for semiconductor, display and IoT component industries who need a future-proof tool with single wafer film quality and uniformity in fast batch processing”, Kostamo concludes.

Friday, August 20, 2021

Forge Nano and Mineral Commodities Enter Into MOU to Produce ALD-Coated Natural Graphite Anode Powders

[News Forge Nano, LINK] Forge Nano, a global leader in surface engineering and precision nano-coating technology, is proud to announce the successful launch of high-energy, Lithium-ion (Li-ion) batteries into orbit aboard the SpaceX Transporter-2 rideshare mission on June 20, 2021. The Li-ion batteries, featuring Forge Nano Particle ALD (PALD) technology and Enersys Zero Volt™ technology, were integrated into Spire Global®, Inc.’s LEMUR-2 satellite. The batteries used 100 percent domestically sourced electrode materials from Pyrotek® and Forge Nano®.


Lemur satellites in the Clean Room (image credit: Spire Global)

Paul Lichty, CEO of Forge Nano, explains “This is the first ALD-enabled space battery we know of and it’s mostly made with US materials! As world leaders in battery materials, we’re excited to be pushing limits of performance for various applications including space. This partnership with EnerSys, Pyrotek, and Spire Global is just one of many commercial battery projects we’re working on, and we look forward to sharing these other projects with the world soon.”

Forge Nano’s Particle Atomic Layer Deposition (PALD) technology, developed by Forge Nano founders while at the University of Colorado Boulder, allows batteries to survive longer and perform better across a variety of metrics. PALD is applicable and cost-effective for most cathodes, anodes, separators, and solid-state battery materials. Forge Nano works with companies from across the globe to enhance their materials with PALD.

The battery cells sent to space incorporated domestically sourced anode material from Pyrotek, headquartered in Spokane, Washington, and cathode material from Forge Nano. Both electrode materials utilized Forge Nano’s Particle Atomic Layer Deposition (PALD) coatings and combined with EnerSys® ZeroVolt™ technology to enhance cycle life stability, energy density, and low temperature performance. The batteries were sent to space aboard a Spire Global®, Inc. LEMUR-2 satellite and will be electrically cycled in-orbit at specific Depth of Discharge (DOD) levels to determine their electrical performance in a space environment as part of the battery qualification process.

“By integrating the various parties’ technologies into Spire’s LEMUR-2 satellite, we are able to gather relevant performance data in a spaceflight application and advance the use of this technology more broadly within the space industry.” said Keith E. Johnson, Vice President and General Manager, Federal at Spire Global, Inc.

“These new US-made batteries pave the way for a fully integrated US battery supply chain at a critical time in the domestication of the battery industry,” said Mark Matthews, EnerSys Senior Vice President, Specialty – Global.

Thursday, August 19, 2021

Forge Nano and Mineral Commodities Enter Into MOU to Produce ALD-Coated Natural Graphite Anode Powders

DENVER, Aug. 19, 2021 [LINK] - Mineral Commodities Ltd., Perth l, WA, Australia, and Forge Nano Inc., Colorado, USA have signed a memorandum of understanding ("MOU") for the use of Forge Nano's proprietary Atomic Layer Deposition coating technology ("ALD"). Forge Nano's surface engineering platform technology will be used to apply atomic level coatings to Mineral Commodities' natural graphite materials.



Dr. Surinder Ghag, MRC's Chief Technology Officer, explains: "By combining our high-quality natural graphite with Forge Nano's ALD coating technology, we can produce a high-performing, cost-competitive graphite anode powder for lithium-ion batteries. We're very excited about this long-term partnership as we target sustainable European anode production in the coming years. This collaboration enables the Company to continue building its technical expertise as it moves towards demonstrating a downstream process for graphite spheronization, purification and coating."

Paul Lichty, Forge Nano's Chief Executive Officer, adds: "We are excited to be fully supporting Mineral Commodities as a key technology partner in their path towards large-scale anode powder production. Our high-throughput ALD coating technology will enable them to compete with established anode producers globally. The collaboration adds to our growing set of partnerships in the graphite anode space, a testament to the value of our technology."

Why does the ALD coating process work so well for graphite anode powders?

ALD coatings on graphite anode powder stabilize the surface defects. This ALD stabilization results in better anode powders with higher discharge capacities, longer life, and improved rate performance. Batteries using ALD-stabilized graphite show increased cycle life, reduced capacity fade, increased conductivity, and greater stability under a variety of conditions such as high voltage, fast charge, or high/low temperature storage and operation. Additionally, Atomic Layer Deposition (ALD) is a potential replacement for carbon coatings on natural graphite powders, a process that few companies have the know-how for.

Thursday, July 29, 2021

Picosun delivers ALD Morpher 200 mm Batch Cluster tool to ams OSRAM

ESPOO, Finland, 28th of July 2021 – Picosun Group delivers cutting-edge Atomic Layer Deposition (ALD) technology to ams OSRAM for volume manufacturing of optical semiconductor devices.

ams OSRAM has invested in a fully automated PICOSUN® Morpher production cluster, which can deposit multiple materials on a batch of wafers even during the same process run. The flexibility and process variety of the PICOSUN® Morpher system is a key advantage, which enables volume production as well as the testing of new processes for R&D of future products.


Picosun Group and ams OSRAM have collaborated in a public funded project FLINGO (m-era.net project) to develop new ALD materials and processes to improve the characteristics of LEDs, such as efficiency and durability. The collaboration between the parties will continue after the ALD system delivery with activities to further expand the use of ALD in optoelectronic semiconductor processing.

“We have been working with Picosun since 2010 and now with this investment we can bring our collaboration to the next level. We are very excited to have the PICOSUN™ Morpher F cluster platform installed in our cleanroom”, states Dr. Sebastian Taeger, at ams OSRAM.

“The optical semiconductor market is one focus area of Picosun today. It is a fast-growing market where we have a strong presence with our tailored solutions for compound semiconductor-based devices. We have had excellent collaboration with the ams OSRAM technical team during project FLINGO and during the system specification stage. The expertise from both companies has resulted in optimized ALD solutions to boost the performance of the customer’s products.”, continues Dr. Christoph Hossbach, General Manager of Picosun Europe GmbH.

Tuesday, July 27, 2021

ASM International Reports 2nd Quarter Results

ASM INTERNATIONAL N.V. REPORTS SECOND QUARTER 2021 RESULTS, Almere, The Netherlands, July 27, 2021 [LINK]

  • New orders of €516 million for the second quarter 2021 increased by 73% compared to the same period last year. This is consistent with our announcement on July 1, 2021, that order intake in the second quarter clearly exceeded the previous guidance.
  • Year-on-year revenue growth for the second quarter 2021 was 29% at constant currencies (20% as reported).
  • Gross profit margin of 48.1% was close to last year’s margin of 48.3%.
  • Operating result for the second quarter 2021 improved from €88 million last year to €118 million this year mainly driven by strong revenue growth.
  • Normalized net earnings for the second quarter 2021 were €111 million, a significant improvement compared to same quarter last year.

COMMENT

“Our company delivered again a strong quarter,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Order intake surged to a new quarterly record of €516 million on the back of continued strong logic/foundry demand and our ALD product leadership. As already announced on 1 July, 2021, the order intake exceeded the previous guidance of €420-440 million, mainly driven by customers pulling in orders into Q2 that were previously expected to be received in Q3. Compared to the same period last year, sales in the second quarter increased by 29% at constant currencies and 20% as reported. Revenue, at €412 million, was slightly above the high end of the guidance of €390-410 million. While we benefited from our expanded manufacturing capacity in Singapore, supply chain conditions further tightened during the quarter, also due to new lockdown measures in parts of Southeast Asia. Thanks to great efforts by ASM’s team and our supply chain partners, we were still able to meet customer requirements.”

OUTLOOK

For Q3, on a currency comparable level, we expect sales of €400-430 million. Q3 bookings, on a currency comparable level, are expected to be in a range of €510-530 million, and also include orders that are planned to be shipped in 2022. Continued tight supply chain conditions are reflected in our sales guidance for Q3 and, based on the current visibility, are also expected to have some impact in Q4, although we do expect Q4 sales to increase compared to the level in Q3. Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high twenties to low thirties percentage in 2021.



Friday, July 23, 2021

PlasticARM - A natively flexible 32-bit Arm microprocessor using ALD

Woah - PlasticARM 32-bit microprocessor using ALD and other thin film deposition techniques on a flexible substrate.

A natively flexible 32-bit Arm microprocessor using ALD

John Biggs, James Myers, Jedrzej Kufel, Emre Ozer, Simon Craske, Antony Sou, Catherine Ramsdale,
Ken Williamson, Richard Price & Scott White
Nature volume 595, pages532–536 (2021)

Abstract: Nearly 50 years ago, Intel created the world’s first commercially produced microprocessor—the 4004, a modest 4-bit CPU (central processing unit) with 2,300 transistors fabricated using 10 μm process technology in silicon and capable only of simple arithmetic calculations. Since this ground-breaking achievement, there has been continuous technological development with increasing sophistication to the stage where state-of-the-art silicon 64-bit microprocessors now have 30 billion transistors (for example, the AWS Graviton2 microprocessor, fabricated using 7 nm process technology). The microprocessor is now so embedded within our culture that it has become a meta-invention—that is, it is a tool that allows other inventions to be realized, most recently enabling the big data analysis needed for a COVID-19 vaccine to be developed in record time. Here we report a 32-bit Arm (a reduced instruction set computing (RISC) architecture) microprocessor developed with metal-oxide thin-film transistor technology on a flexible substrate (which we call the PlasticARM). Separate from the mainstream semiconductor industry, flexible electronics operate within a domain that seamlessly integrates with everyday objects through a combination of ultrathin form factor, conformability, extreme low cost and potential for mass-scale production. PlasticARM pioneers the embedding of billions of low-cost, ultrathin microprocessors into everyday objects.


a, The SoC architecture, showing the internal structure, the processor and system peripherals. The processor contains a 32-bit Arm Cortex-M CPU and a Nested Vector Interrupt Controller (NVIC), and is connected to its memory through the interconnect fabric (AHB-LITE). Finally, the external bus interface provides a General-Purpose Input-Output (GPIO) interface to communicate off-chip with the test framework. b, Features of the CPU used in PlasticARM compared to those of the Arm Cortex-M0+ CPU. Both CPUs fully support Armv6-M architecture with 32-bit address and data capabilities and a total of 86 instructions from the entire 16-bit Thumb and a subset of 32-bit Thumb instruction set architecture. The CPU microarchitecture has a two-stage pipeline. The registers are in the CPU of the Cortex-M0+, but in the PlasticARM the registers are moved to the latch-based RAM in the SoC to save the CPU area of the Cortex-M. Finally, both CPUs are binary compatible with each other and to other CPUs in the same architecture family. c, The die layout of PlasticARM, denoting the key blocks in white boxes such as the Cortex-M processor, ROM and RAM. d, The die micrograph of PlasticARM, showing the dimensions of the die and core areas. From: A natively flexible 32-bit Arm microprocessor

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition

Thin films of materials are critical components for most areas of sustainable technologies, making thin film techniques, such as chemical vapor deposition (CVD), instrumental for a sustainable future. It is, therefore, of great importance to critically consider the sustainability aspects of CVD processes themselves used to make thin films for sustainable technologies. Here, we point to several common practices in CVD that are not sustainable. From these, we offer a perspective on several principles for a sustainable, “Green CVD” philosophy, which we hope will spur research on how to make CVD more sustainable without affecting the properties of the deposited film. We hope that these principles can be developed by the research community over time and be used to establish research on how to make CVD more sustainable and that a Green CVD philosophy can develop new research directions for both precursor and reactor design to reduce the precursor and energy consumption in CVD processes.




Electrical energy consumption and greenhouse gas emission in 300 mm logic wafer production for relevant technology nodes in production in 2021 and to be ramped up in the next five years.

We foresee a new research field focused on developing more sustainable CVD processes without impacting the performance of the deposited film negatively. To develop this, we suggest an adaption of a philosophy similar to Green Chemistry,8 a philosophy for all areas of chemistry and chemical engineering to make more sustainable processes and products. Green chemistry focuses on reducing the amount of hazardous materials used and generated, the amount of energy consumed, and designing less harmful molecules. Here, we outline suggestions for such a Green CVD philosophy

A Green CVD philosophy needs to focus on reducing the total energy consumption, reducing molecular consumption by increasing the efficiency in atom usage, and reducing the use of and formation of hazardous molecules. This should be done for the whole process chain of a CVD process—from precursor synthesis to waste gas abatement. A sustainable CVD process must also take an active stand against human rights abuse throughout the whole materials chain, use renewable energy for CVD equipment, and make use of the excess heat produced by CVD equipment. 

Summary of a suggested Green CVD philosophy

From this breakdown of the CVD process, we suggest the following principles to summarize a sustainable Green CVD philosophy:
(1) Use precursors that can be supplied to the process in close to the stoichiometric ratios in the target film to reduce molecular waste.
(2) Use precursors that undergo reactions with lower activation energies to reduce energy consumption and molecular waste.
(3) Use less hazardous precursor molecules to make the CVD process safer.
(4) Use precursors that produce less harmful by-products that are easier to handle.
(5) Minimize waste and energy consumption in the precursor supply chain.
(6) Minimize the thermal budget and vacuum volume of the CVD reactors.
(7) Use the most energy-efficient way to activate the deposition chemistry, including plasma methods.
(8) Recycle unconsumed CVD gases and precursors.
(9) Identify, prevent, address, and account for human rights abuses in the CVD supply chain.
(10) Use renewable energy for the CVD process and harvest excess heat.

Finally, we appreciate that industry is reluctant to change precursors and CVD processes that have been successfully brought into high volume production. As we have already pointed out, the research area of Green CVD should strive to make a given CVD process more sustainable without causing negative effects on the performance of the deposited film. Ideally, Green CVD should not affect the price of the CVD processing step either. It is very reasonable to expect that the demands for more sustainable production will increase and with that a need for more sustainable CVD. As in other research, a strong collaboration between industry and academia will strengthen the Green CVD development effort.
Full article in JVSTA: 

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition
Journal of Vacuum Science & Technology A 39, 051001, (2021); https://doi.org/10.1116/6.0001125  Henrik Pedersen, Seán T. Barry, and Jonas Sundqvist


 

Thursday, July 22, 2021

Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices

Research showing the potential for Plasma Enhanced ALD to scale up superconducting Quantum circuits from Jena and Karlsruhe, Germany using Oxford Instruments Plasma ALD.

Abstract: Superconducting niobium nitride thin films are used for a variety of photon detectors, quantum devices, and superconducting electronics. Most of these applications require highly uniform films, for instance, when moving from single-pixel detectors to arrays with a large active area. Plasma-enhanced atomic layer deposition (ALD) of superconducting niobium nitride is a feasible option to produce high-quality, conformal thin films and has been demonstrated as a film deposition method to fabricate superconducting nanowire single-photon detectors before. Here, we explore the property spread of ALD-NbN across a 6-in. wafer area. Over the equivalent area of a 2-in. wafer, we measure a maximum deviation of 1% in critical temperature and 12% in switching current. Toward larger areas, structural characterizations indicate that changes in the crystal structure seem to be the limiting factor rather than film composition or impurities. The results show that ALD is suited to fabricate NbN thin films as a material for large-area detector arrays and for new detector designs and devices requiring uniform superconducting thin films with precise thickness control.



Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
Journal of Vacuum Science & Technology A 39, 052401 (2021); https://doi.org/10.1116/6.0001126

Friday, July 2, 2021

Future foldable and flexible Display with NCD’s ALD encapsulation technology

In the global market of smart phones, competition on mobile’s form factors has been an important issue since foldable smart phones had launched following cured ones. Samsung electronics applied in-folding form factor to Galaxy Fold and Galaxy Z Flip, and Huawei used out-folding form factor to Mate X. New two or three folding form factor has been unveiling to the public beyond in-folding and out folding displays.

Flexible displays consist of Thin Film Transistor (TFT), Organic Light Emission Diode (OLED) and multi encapsulation layers. Generally organic and inorganic laminated layers is used for foldable displays and PECVD has applied to deposit inorganic materials.

Basically, Inorganic layers is lack of brittleness then their encapsulation property is degraded with continuous mechanical stress. ALD method for TFE was considered instead of PECVD due to their excellent encapsulation characteristics with thicknesses of few tens of nanometers. The reliability of the tool blocked applying to production at that time.

But because of the superior encapsulation property using ALD, many universities, institutes as well as display companies have been developing ALD inorganic layers for flexible displays and evaluating hundreds of thousand times folding test considering actual use recently.

LucidaTM GD Series ALD


The customer which has NCD’s Lucida GD Series ALD, measured folding test on flexible displays with inorganic layers using ALD instead of using PECVD and showed great performance under actual display operation. The 5.85 inch AMOLED display panels for in-folding and out-folding consisted of encapsulation structure of 30nm Al2O3 ALD/ 8㎛-Polymer/ 30nm Al2O3 and was tested in-folding and out-folding evaluation of 200,000 times with bending radius of 2R under light status after the 1st reliability test of RA 60℃/90% for 500hr. There were no dark spots on the panels after finishing the folding measurement. The 2nd reliability test of RA 60℃/90% for 48hr followed folding evaluation and then the TFE status was examined without any cracks.


Using NCD’s large area batch ALD system for foldable phones could obtain superior encapsulation property and flexibility with very thin inorganic layers to current ones using PECVD as well as provide great productivity because the batch tool can process lots of panels at one time.

Then NCD really looks forward to applying its large area batch ALD technology to encapsulation of future flexible display with in/out-folding and very small bending radius because of having solved the previous issues without both reliability and productivity that the reason is why ALD equipment didn’t apply for mass production of flexible display.

Thursday, July 1, 2021

Picosun’s PicoArmour(TM) reduces semiconductor manufacturing costs

ESPOO, Finland, 2nd of June 2021 – Picosun Group has pending patent rights for an ALD enabled corrosion protection solution against plasma etch that will bring benefits in semiconductor fabrication processes in terms of throughput, film uniformity and conformality. With PicoArmourTM the corrosion protection can be achieved more efficiently compared with the industry solutions commonly used today.

Wafer fabrication process flows include several steps where plasma etching is necessary. An inevitable consequence of using etching chemicals is that the tool itself will be etched. A common industrial solution for reducing the tool damage is applying a corrosion-resistant coating to the etch tool using for example PVD or spray coating​ with Y2O3. Compared to only using Y2O3, PicoArmour(TM) enables an up to five times faster and a more cost-effective way of producing the coating. Compared to Al2O3, the coating can be five times more durable.* Also, the maintenance interval of etch tools can be increased which also translates to significant reduction of manufacturing costs.


“Picosun’s approach with PicoArmour(TM) is to combine the highly-etch-resistant Y2O3 ALD process with more robust ALD processes. A high performance ALD corrosion barrier combining the speed and convenience of Al2O3 process with the durability of Y2O3 can be achieved by carefully controlling the film composition. With ALD, the protective effect can be achieved with thinner films, which in turn leads to material savings and a more environmentally friendly process”, states Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

To learn more about PicoArmour(TM) and a study Picosun has done related to protective coatings against plasma damage, join Picosun talk at the virtual ALD 2021 conference on June 29 at 10:25 am EDT.

Tier 1 semiconductor automotive supplier selects Oxford Instruments Plasma Technology’s ALE technology for it’s GaN power electronic program

Oxford Instruments Plasma Technology announced May 25, 2021, (LINK) that a leading German semiconductor manufacturer to the automotive industry has selected its PlasmaPro®100 Cobra® system for the development of next generation GaN power electronic devices.

The PlasmaPro®100 Cobra® system is designed for superior uniformity, high- precision and low-damage process solutions. The production-proven system allows for rapid change between wafer sizes up to 200 mm and the cost of ownership is one of the lowest in the market.

The PlasmaPro®100 Cobra® system will be incorporated into the R&D section and will be used for development of GaN power devices. GaN power devices are gaining market share in fast charger applications and offer benefits in Electric Vehicle power management systems.

We continue to see very encouraging signals in the form of increasingly proactive customer engagement and clear market preparation and positioning activities from significant industry players for the emerging Wide Band Gap power electronic market.

"Our Atomic Scale Processing etch solution being selected by this world leading manufacturer for their GaN power electronics programme is an important strategic win for Oxford Instruments Plasma Technology" comments Klaas Wisniewski, Plasma Technology’s Strategic Business Development Director, who also added: "The GaN based power electronic market is very dynamic with improvements to both performance and cost expected at each design iteration.. This reiterates the importance of our strategy to focus on atomic scale processing solutions such as atomic layer deposition (ALD) and atomic layer etching (ALE). We are pleased that such a leading automotive semiconductor company recognizes the benefits our solutions deliver.





The PlasmaPro 100 ALE delivers precise process control of etching for next-generation semiconductor devices. Specially designed for processes such as recess etching for GaN HEMT applications and nanoscale layer etching, the system's digital/cyclical etch process offers low damage, smooth surfaces.

  • Digital/Cyclical etch process – etching equivalent of ALD
  • Low damage
  • Smooth etch surface
  • Superb etch depth control
  • Ideal for nanoscale layer etching (e.g. 2D Materials)
  • Wide range of processes and applications