Thursday, July 29, 2021
Picosun delivers ALD Morpher 200 mm Batch Cluster tool to ams OSRAM
Tuesday, July 27, 2021
ASM International Reports 2nd Quarter Results
- New orders of €516 million for the second quarter 2021 increased by 73% compared to the same period last year. This is consistent with our announcement on July 1, 2021, that order intake in the second quarter clearly exceeded the previous guidance.
- Year-on-year revenue growth for the second quarter 2021 was 29% at constant currencies (20% as reported).
- Gross profit margin of 48.1% was close to last year’s margin of 48.3%.
- Operating result for the second quarter 2021 improved from €88 million last year to €118 million this year mainly driven by strong revenue growth.
- Normalized net earnings for the second quarter 2021 were €111 million, a significant improvement compared to same quarter last year.
COMMENT
“Our company delivered again a strong quarter,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Order intake surged to a new quarterly record of €516 million on the back of continued strong logic/foundry demand and our ALD product leadership. As already announced on 1 July, 2021, the order intake exceeded the previous guidance of €420-440 million, mainly driven by customers pulling in orders into Q2 that were previously expected to be received in Q3. Compared to the same period last year, sales in the second quarter increased by 29% at constant currencies and 20% as reported. Revenue, at €412 million, was slightly above the high end of the guidance of €390-410 million. While we benefited from our expanded manufacturing capacity in Singapore, supply chain conditions further tightened during the quarter, also due to new lockdown measures in parts of Southeast Asia. Thanks to great efforts by ASM’s team and our supply chain partners, we were still able to meet customer requirements.”
OUTLOOK
For Q3, on a currency comparable level, we expect sales of €400-430 million. Q3 bookings, on a currency comparable level, are expected to be in a range of €510-530 million, and also include orders that are planned to be shipped in 2022. Continued tight supply chain conditions are reflected in our sales guidance for Q3 and, based on the current visibility, are also expected to have some impact in Q4, although we do expect Q4 sales to increase compared to the level in Q3. Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high twenties to low thirties percentage in 2021.
Friday, July 23, 2021
PlasticARM - A natively flexible 32-bit Arm microprocessor using ALD
John Biggs, James Myers, Jedrzej Kufel, Emre Ozer, Simon Craske, Antony Sou, Catherine Ramsdale,
Ken Williamson, Richard Price & Scott White
Nature volume 595, pages532–536 (2021)
Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition
Summary of a suggested Green CVD philosophy
Journal of Vacuum Science & Technology A 39, 051001, (2021); https://doi.org/10.1116/6.0001125 Henrik Pedersen, Seán T. Barry, and Jonas Sundqvist
Thursday, July 22, 2021
Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
Wednesday, July 7, 2021
Beneq Product Event 2021 Full Video from the ALD/AVS 2021 Conference.
Friday, July 2, 2021
Future foldable and flexible Display with NCD’s ALD encapsulation technology
LucidaTM
GD Series ALD
Thursday, July 1, 2021
Picosun’s PicoArmour(TM) reduces semiconductor manufacturing costs
Tier 1 semiconductor automotive supplier selects Oxford Instruments Plasma Technology’s ALE technology for it’s GaN power electronic program
- Digital/Cyclical etch process – etching equivalent of ALD
- Low damage
- Smooth etch surface
- Superb etch depth control
- Ideal for nanoscale layer etching (e.g. 2D Materials)
- Wide range of processes and applications
Wednesday, June 30, 2021
Congratulations to 2021 ALD Innovator Awardee Stacey Bent (Stanford University, USA)!
Congratulations to 2021 ALD Innovator Awardee Stacey Bent @BentGroup (Stanford University, USA)! pic.twitter.com/8AoAFpGSl4
— AVSALD (@AvsAld) June 28, 2021
The ALD conferences for the next coming years were just announced!
2022 - Ghent, Belgium
2023 - Bellevue, Washington, USA
2024 - Helsinki, Finland
Thursday, June 24, 2021
Picosun strengthens its presence in Southeast Asia
Thursday, June 17, 2021
Picosun is part of world's first wooden satellite coated by ALD
Saturday, June 12, 2021
Vinova fund Swedish AlixLabs Breakthrough green technology in Nanostructures Miniaturization for Electronic Chips
Applied Materials to present New Innovations Needed to Continue Scaling Advanced Logic (June 16)
Primary modules of a FinFET are channel and shallow trench isolation (1), high-k metal gate (2) and transistor source/drain resistance (3). (Credit: Applied Materials)
Wednesday, June 2, 2021
Picosun’s PicoArmour(TM) reduces semiconductor manufacturing costs
Tuesday, June 1, 2021
South Korean equipment makers recorded mixed results in the first quarter of 2021
- Fab equipment vendors posted high growth, while display equipment firms underperformed.
- Fab equipment makers benefited from aggressive spending by semiconductor companies.
- CVD/ALD equipment companies showed good growth, see below (Jusung, Wonik IPD, Eugene Technologies
Thursday, May 27, 2021
Atomic billiards helps to understand Atomic Layer Deposition
Figure 1: The principle of LEIS: When ions collide with surface atoms, their energy after the collision depends on the mass of the atoms that they collided with. A LEIS spectrum shows the number of returned ions as a function of their energy. This represents the surface concentrations of different elements, sorted after their mass.
LEIS helps to develop and optimize ALD processes
Figure 2: 5 keV 20Ne+ LEIS spectra of increasing cycle numbers of GaSb deposited on SiOx
LEIS separately analyzes the outermost atomic layer and the layers below it
Figure 3: 7 keV 4He+ LEIS spectra of increasing cycle numbers of GaSb deposited on SiOx.
Figure 4: Ternary diagram showing the surface composition of the samples with increasing cycle number. The colors of the data points correspond to the colors of the spectra.
Acknowledgement
Wednesday, May 26, 2021
Vaccines perfected from the atoms up - Forge Nano technology enables next-gen vaccine formulation platform using ALD
Vaccines perfected from the atoms up - Forge Nano technology enables next-gen vaccine formulation platform using Atomic Layer Deposition.
VitriVax’s vaccine formulation platform addresses both of these challenges by enabling vaccines to be made thermostable up to 70°C (158°F), and the combination of prime and boost doses into a single injection with timed release, eliminating the need for a follow up injection. The platform uses a technology called atomic layer deposition (ALD) to coat the active ingredient in the vaccine with a protective layer of adjuvant (commonly used in vaccines to stimulate immune response). That coating then slowly dissolves to release the dose inside. The current generation of ALD system in use by VitriVax operates at the scale of around 1000 doses per run. (LINK)
Thursday, May 20, 2021
Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021
Realization and Dual Angle, In-situ
OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP
Chamber Employing de Laval Nozzle Ring Injector for Fast ALD
Abhishekkumar Thakur1,
Stephan Wege1, Sebastian Bürzele1, Elias Ricken1,
Jonas Sundqvist2, Mario Krug3
1Plasway Technologies GmbH, 2BALD
Engineering AB, 3Fraunhofer IKTS
ALD-based spacer-defined multiple
patterning schemes have been the key processes to continued chip scaling, and they
require PEALD or catalytic ALD for low temperature and conformal deposition of
spacers (typically SiO2) on photoresist features for the subsequent
etch-based pitch splitting. Other SiO2 applications in the logic and
the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic
encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial
oxide, optical films, and many more. ALD is limited by low throughput that can
be improved by raising the growth per cycle (GPC), using new ALD precursors,
performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or
omitting purge steps to attain the shortest possible ALD cycle. Today’s latest
and highly productive platforms facilitate very fast wafer transport in and out
of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing
are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces,
or spatial ALD chambers.
We have developed a Fast PEALD
technology [1], realizing individual precursor pulses saturating in the sub-100
ms range. The key feature of the technology is the highly uniform, radial
injection of the precursors into the process chamber through several de Laval
nozzles [2]. To in-situ study (concomitantly from the top and the side of the
wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast
scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm)
Optical Emission Spectrometers with a resolution in the range of 0.7 nm.
We present the results for PEALD of
SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS
pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes
were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in
the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure.
The in-situ, time-resolved OES study of O2 plasma pulse, indicating
saturation of O* (3p5Pà3s5S) emission peak
already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of
reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The
mean GPC diminishes with the electrostatic chuck temp (Fig. 6).
We will present a more optimized
PEALD SiO2 process and stacking of Fast PEALD SiO2 on top
of Fast PEALD Al2O3 in the same chamber without breaking
the vacuum. The results will comprise XPS, TEM, film growth uniformity across
300 mm wafer, and residual stress investigation for the film stack.
References:
[1] AVS ALD2020, Abstract Number:
2415, Oral Presentation: AM-TuA14
[2] Patent US20200185198A1
ALD/ALE 2021 Technical Program June 27-30, 2021
Virtual Meeting Overview & Highlights
AVS ALD/ALE 2021 Conference Page
Live Tutorial Session with live Q&A Chat Opportunities
(Sunday, June 27, 2021)
- Parag Banerjee (University of Central Florida, USA), “Seeing Is Believing: In situ Techniques for Atomic Layer Deposition (ALD) Process Development and Diagnostics”
- Arrelaine Dameron (Forge Nano, USA), “ALD Powder Manufacturing”
- Henrik Pedersen (Linkoping University, Sweden), “Let’s Talk Dirty – Battling Impurities in ALD Films”
- Riikka Puurunen (Aalto University, Finland), “Fundamentals of Atomic Layer Deposition: An Introduction (“ALD 101”)”
- Fred Roozeboom (Eindhoven University of Technology, The Netherlands), “ALE and ALD: Two Biotopes of a Kind in Atomic-Scale Processing”
Live Plenary, Awards, and Student Finalists with live Q&A Chat Opportunities (Monday, June 28, 2021)
- Plenary Speaker: Steven George (University of Colorado Boulder, USA), “Mechanisms of Thermal Atomic Layer Etching”
- Plenary Speaker: Todd Younkin (Semiconductor Research Corporation, USA), “Materials & Innovation – Essential Elements that Underpin the Next Industrial Revolution
- Live Parallel Technical Sessions with live Q&A Chat Opportunities (Tuesday-Wednesday, June 29-30, 2021)
- On Demand Oral Sessions (Starting Monday, June 28, 2021)
- On Demand Poster Sessions with a Mix of Pre-recorded (Video or Audio) Talks and/or PDF files
Thursday, May 13, 2021
Schweden wollen mit Ätz-Spalttechnik Chipproduktion in Sachsen umkrempeln
„In Schweden haben wir keine 300-Millimeter-Infrastruktur“, erklärt „Alix Labs“-Chef Jonas Sundqvist die Kooperation mit den Sachsen. „Unsere Technologie kann in bestehende Prozessabläufe der Halbleiterherstellung integriert werden. Theoretisch könnten Chipfabriken wie die Globalfoundries-Fab 1 in Dresden unsere Methode einführen und dann 10- oder 7-Nanometer-Chips herstellen ohne teure EUV-Anlagen.“ Als Kunden sieht er aber auch Branchenriesen wie Intel, TSMC und Samsung.
Wednesday, May 12, 2021
A Molecular Drone for Atomic‐Scale Fabrication Working under Ambient Conditions
A Molecular Drone for Atomic‐Scale Fabrication Working under Ambient Conditions
Matteo Baldoni Francesco Mercuri Massimiliano Cavallini
Advanced Materials Communications, First published: 12 April 2021 https://doi.org/10.1002/adma.202007150
Phthalocyanine molecule can act as a ‘molecular drone’ from Chemistry World on Vimeo.
Saturday, May 8, 2021
Worldwide silicon wafer area shipments increased 4% to 3,337 million square inches in the first quarter of 2021
Webinar - Decadal Plan for Semiconductors: New Compute Trajectories for Energy Efficiency
Friday, May 7, 2021
BALD Engineering & Friends Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer
BALD Engineering Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer #ALDep #ALDALE2021
— BALD Engineering AB (@jv3sund) May 7, 2021
Applied Materials MEMORY MASTER CLASS 2021 - slide deck
I missed this opportunity, however, I am grateful for Lita Shon-Roy just sending me the link to the slide deck - Tack så mycket.
Slide deck for the Memory Class LINK
Next class up is Logic June 16, 2021 followed by more interesting topics in 2nd half 2021:
- Specialty semiconductors
- Heterogeneous design and advanced packaging
- Inspection and process control
You are welcome to contact us at TECHCET (jsundqvist@techcet.com) to dig further into the future surge of materials to realize the data-driven economy:
- ALD/CVD precursors
- Metals/PVD Targets
- Photoresist
- Wet chemicals
- CMP pads & slurries
- Bulk, Rare and Speciality gases
- Wafers
Applied Materials Introduces Materials Engineering Solutions for DRAM Scaling
- New Draco™ hard mask material co-optimized with Sym3® Y etcher to accelerate DRAM capacitor scaling
- DRAM makers adopting Black Diamond®, the low-k dielectric material pioneered by Applied Materials to overcome interconnect scaling challenges in logic
- High-k metal gate transistors now being introduced in advanced DRAM designs to boost performance and reduce power while shrinking the periphery logic to improve area and cost