Monday, April 12, 2021

Announcing ALD Russia 2021 Saint Petersburg, Russia 27–30 September 2021.

The 3rd International Workshop ‘Atomic Layer Deposition Russia 2021’ (ALD Russia 2021) will be held in Saint Petersburg, Russia 27–30 September 2021.

Conference Web: http://technolog.edu.ru/aldrussia/general-information-en.html


The 3rd International Workshop ‘Atomic Layer Deposition Russia 2021’ (ALD Russia 2021) will be held at the Saint-Petersburg State Institute of Technology from 27 to 30 September 2021. That will be the 3rd such event in the series that started in Moscow in 2015 and continued in Saint Petersburg in 2017.

The workshop will mark the 90th anniversary of the birth of Stanislav Ivanovich Koltsov (1931–2003), whose pioneering studies in 1960s laid the foundation of molecular layering, the method of synthesis of surface compounds and thin films widely known today as atomic layer deposition (ALD).

All scholars interested in ALD are welcome to attend the event and submit their latest results on any aspect of ALD, including its chemical foundations, new materials and processes, industrial applications and instrumentation.

The topic of the workshop is the current state of research in the field of atomic layer deposition and commercialisation of its results.


Saint Petersburg State Institute of Technology (Technical University)

Spatial Atomic Layer Deposition (SALD): Essential for today's and future battery production

SALD is a carve-out of the brainport Eindhoven-based OEM company SoLayTec, which develops and sells advanced spatial ALD machines specifically for mass-produced solar cells. Because there were a lot of requests for applications other than solar cells, the company SALD was founded in 2019. In the relatively short time in which the company has existed, it has already succeeded in implementing the complex technology in a compact machine that serves for research purposes and small-scale production of spatial ALD layers.

The full story can be found here published by Brainport, NL: LINK


Background: In 2008 TNO scientists developed a brilliant solution for this: Spatial ALD. With this new technology, TNO was able to increase the production speed 5 to 10 times, while the coating quality remained the same. This led to the establishment of SoLayTec, which developed and sold advanced Spatial ALD machines for the mass production of solar panels. This makes SoLayTec the only company with large-scale production experience within the emerging world of Spatial ALD. The in-depth expertise in Spatial ALD technology, combined with the unique ability to transfer it from lab to fab, offers great opportunities in today's growth markets. That is why a new company has been set up alongside SoLayTec, with the ambition to be at the forefront of promising new applications of Spatial ALD. The name chosen is the worldwide abbreviation for this innovative technology: SALD.

Friday, April 9, 2021

BENEQ P800 Upgrade - Proven Batch ALD for High Volume Production

BENEQ has freshened up its true ALD workhorse the P800 Batch reactor, which probably has the biggest load size in the industry.


PDF Product description for download: LINK




Thursday, April 8, 2021

Pegasus Chemicals announce a new supply site in The EU in Sweden

UK ALD and CVD Precursor supplier Pegasus Chemicals announce a new supply site in Europe in Värmdö, Sweden Pegasus Chemicals AB thru a long-term collaboration with Fab Support AB - the biggest supplier of CVD and ALD precursor in North Europe. The location is very close to BALD Engineering HQ also in Värmdö! Welcome to Sweden and Värmdö in the Stockholm Archipelago!





Why China denied Applied Materials take over of Hitachi Kokusai

According to a recent analysis by Robert Castellano (Seeking Alpha/The Information Network LINK), Hitachi Kokusai holds a strong position in tube/non-tube LPCVD and oxidation/diffusion semiconductor equipment. For some time Applied Material has planned the acquisition of Kokusai that would have increased its global market share, for silicon wafer processing equipment by adding a big segment that it does not have in its product portfolio - LPCVD, Diffusion, and ALD Furnaces. According to the market assessment, Hitachi Kokusai holds a leading market share in this segment over No. 2. Tokyo Electron. 

Castellano brings up the interesting observation that "China blocked Applied Materials' acquisition of Kokusai while permitting the Marvell-Inphi deal the same week". Basically meaning that there are more than just US-China Trade issues behind the decision. He concludes that "China is developing a home-grown equipment industry infrastructure, and the deal would impede on that effort." and follows up by breaking up the segments and global competitive situation as below.

Summary by Castellano of China OEM active in LPCVD, Diffusion, and ALD segments vs. the global leaders

China’s NAURA makes oxidation and diffusion furnaces and its products have captured a significant share (40-50%) of YMTC’s thermal process equipment purchases, per our channel checks. In RTP, major players include Applied Materials, Tokyo Electron, and Mattson Technology.

NAURA is developing etchers and deposition equipment for 7nm and 5nm nodes. NAURA has a large product offering, and its customers consist of SMIC, Hua Hong, YMTC, and GTA Semiconductors.

NAURA also makes thermal furnaces and has a 45% share of China’s memory maker YMTC purchases. Whereas NAURA sold 8 etch systems and 6 CVD and ALD deposition systems to Chinese semiconductor companies, the company sold 34 furnaces in 2019 as well as 16 cleaning systems.

Shenyang Piotech also supplies PECVD and ALD deposition equipment. Piotech received orders for 4 PECVD (for SiN, SiO2) systems from YMTC, and is also receiving repeat orders from Hua Hong, and SMIC.


The size of the semiconductor equipment market and the small share China's equipment suppliers currently enjoy compared to foreign suppliers (source: The Information Network LINK)

ALD/CVD Metal Precursors US$640M Market Booming to US$910M in 2024

San Diego, CA, April 1, 2021: TECHCET—the electronic materials advisory firm providing business and technology information—announces that the global market for atomic layer deposition (ALD) and chemical vapor deposition (CVD) metal precursors for semiconductor manufacturing in 2020 was worth ~US$640M. Driven by advanced logic and 3D-NAND memory chip fabrication needs, demand for ALD and CVD metal precursors is forecast to see a 2020-2024 compound annual growth rate (CAGR) of ~10% as shown in the Figure (below) from the most recent quarterly update to TECHCET's Critical Materials Report (CMR) on CVD, ALD, and SOD Precursors.



All ALD/CVD metal precursors are in healthy demand since ALD is critical for fabs running 22-45nm nodes as well as for fabs at the leading edge. In particular, cobalt (Co) and hafnium (Hf) precursors saw 18-20% year-over-year (YoY) growth in 2020, and are forecasted to see strong demand through 2024.

Critical Materials Reports™ and Market Briefings: https://lnkd.in/gH6UN8T

For more information: https://lnkd.in/g332mfz

Wednesday, April 7, 2021

Ascensus acquires a global leader in high-purity specialty chemicals and CVD/ALD precursors Strem Chemicals, Inc.

Bellevue, WA, April 7, 2021 – Wind Point Partners (“Wind Point”) and Ascensus Specialties LLC (“Ascensus”) today announced that Ascensus has completed the acquisition of Strem Chemicals, Inc. (“Strem” or the “Company”). Strem is a global leader in high-purity, research-grade catalysts, ligands, organometallics, metal carbonyls and CVD/ALD precursors.



Based in Newburyport, Massachusetts, Strem sells and manufactures specialty chemicals for the most demanding, high-purity end markets of life sciences, microelectronics and other specialties markets. Founded in 1964 by Michael Strem, the Company’s products serve as critical components for manufacturers, researchers and academics. In addition, Strem provides custom synthesis and cGMP manufacturing services for high-potency APIs (active pharmaceutical ingredients).

Headquartered in Bellevue, Washington, Ascensus is the world leader in borohydride, borane, and specialty alcoholates production. Wind Point built Ascensus through the acquisitions of former Dow Chemical and BASF specialty chemicals businesses. Ascensus’ specialty chemicals are essential reagents for life sciences, microelectronics and other specialties customers across North America, Europe and Asia.

Mike Huff, CEO of Ascensus, stated, “We are extremely excited to have Strem join the Ascensus family. Strem has a world-class team and a renowned reputation that has been methodically fostered for over half a century under the leadership of Michael Strem and Ephraim Honig. We will look to further support the team’s highly technical R&D culture, differentiated product offering and value-added customer relationships. Together, Ascensus and Strem will be a global specialty chemicals leader serving the demanding, high-growth life sciences and specialties markets.”

Alex Washington, Wind Point Managing Director, added, “Strem represents an excellent addition to the Ascensus platform. The combined business will have capabilities to offer solutions ranging from lab to large scale commercial production. Strem’s industry-leading technical competency is a meaningful addition to Ascensus’ existing capabilities, and Ascensus looks forward to working with Ephraim and the Strem team to amplify new product and application development efforts.”

Ephraim S. Honig, former CEO of Strem, will be joining the Ascensus leadership team as Chief Science and Innovation Officer and will oversee the combined businesses’ Research and Development function. Mr. Honig noted, “We are excited to become part of the Ascensus family and begin the next chapter for our employees, customers and partners. Ascensus and Strem have highly complementary chemistry capabilities and end market applications. We look forward to accelerating our investment in R&D capabilities to drive innovation and new chemistries on behalf of our customers.”

Michael Strem, Founder & President of Strem Chemicals, commented, “We thoughtfully chose Ascensus as the partner for the next phase of Strem’s growth. Our employees’ interests and well-being have been my top priority, and the strong cultural fit will provide opportunities for our team. The additional resources and global reach of Ascensus will deliver Strem’s strong capabilities to an even broader audience of customers."

Reed Smith LLP served as legal counsel to Wind Point and Ascensus, and KPMG LLP provided advisory services in connection with the transaction. Honigman LLP served as legal counsel and Grace Matthews, Inc. served as financial advisor to Strem.

Tuesday, April 6, 2021

Abstract submission April 23 (EXTENDED) - 240th ECS Meeting Topic Close-up: Atomic Layer Deposition Applications

TECHCET LLC CA & BALD Engineering AB to give a Keynote at 240th ECS Meeting Symposium G01- Atomic Layer Deposition Applications 17:

Status & Challenges in today's Atomic Layer Processing market
Jonas Sundqvist, TECHCET LLC CA, San Diego USA and BALD Engineering Värmdö, Sweden

Symposium focus: The organizers of symposium G01, “Atomic Layer Deposition Applications 17,” encourage abstract submissions on the following (and closely related) topics:
  • Semiconductor CMOS applications: development and integration of ALD (atomic layer deposition) high-k oxides and metal electrodes with conventional and high-mobility channel materials;
  • Volatile and non-volatile memory applications: extendibility, Flash, MIM (metal-insulator-metal), MIS (metal-insulator-semiconductor), RF (radio-frequency) capacitors, etc.;
  • Interconnects and contacts: integration of ALD films with Cu and low-k materials;
  • Fundamentals of ALD processing: reaction mechanisms, in situ measurement, modelling, theory;
  • New precursors and delivery systems;
  • Optical and photonic applications;
  • Coating of nanoporous materials by ALD;
  • MLD (molecular level deposition) and hybrid ALD/MLD;
  • ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;
  • ALD for energy storage applications;
  • Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates including roll-to-roll deposition;
  • Area-selective ALD;
  • Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.
Keynote speakers
Stacey Bent, Stanford University, U.S.
Jonas Sundqvist, TECHCET LLC CA, San Diego USA and BALD Engineering Värmdö, Sweden

Invited speakers
  • Barry Arkles, Gelest, U.S.
  • Karsten Arts, Technische Universiteit Eindhoven, The Netherlands
  • Parag Banerjee, University of Central Florida, U.S.
  • Necmi Biyikli, University of Connecticut, U.S.
  • Jane Chang, University of California, Los Angeles, U.S.
  • Lin Chen, Fudan University, China
  • Hao-Chung Kuo, National Yang Ming Chiao Tung University, Taiwan
  • Noémi Leick, National Renewable Energy Lab, U.S.
  • Cathérine Marichy, Université de Lyon, France
  • Xiangbo Meng, University of Arkansas, U.S.
  • Mattia Pasquali, University of Leuven and Interuniversity Microelectronics Centre (IMEC), Belgium
  • Sayeef Salahuddin, University of California, Berkeley, U.S.
  • Henrik Sønsteby, Universitetet i Oslo, Norway
  • Shuhui Sun, Institut National de la Recherche Scientifique, Université du Québec, Canada
  • Angel Yanguas-Gil, Argonne National Laboratory, U.S.
Tutorials
Ola Nilsen, Universitetet i Oslo, Norway
Steven George, University of Colorado Boulder, U.S.

Please visit the meeting website for further information, including travel and visa updates.

Symposium G01 organizers
F. Roozeboom, Technische Universiteit Eindhoven and TNO-Holst Centre, The Netherlands
S. De Gendt, IMEC and Catholic University Leuven, Belgium
J. Dendooven, Ghent University, Belgium
W. Elam, Argonne National Laboratory, U.S.
O. van der Straten, IBM Research, U.S.
A. Illiberi, ASM Europe, Belgium
G. Sundaram, Veeco, U.S.
R. Chen, Huazhong University of Science and Technology, China
O. Leonte, Berkeley Polymer Technology, U.S.
T. Lill, Lam Research, U.S.
M. Young, University of Missouri, U.S.

Monday, April 5, 2021

ALD/ALE 2021 is Going Virtual June 27-30, 2021

ALD/ALE 2021 is Going Virtual June 27-30, 2021 due to the Covid19 situation.

Virtual Meeting Overview & Highlights

The AVS 21st International Conference on Atomic Layer Deposition (ALD 2021) featuring the 8th International Atomic Layer Etching Workshop (ALE 2021) will be adapted into a Virtual Meeting comprised of Live and On Demand Sessions. The registration deadline is June 30, 2021; all presenters must register by May 1, 2021. The event will feature:
  • Live Tutorial Session with live Q&A Chat opportunities (Sunday, June 27, 2021)
  • Live Plenary, Awards, and Student Finalists with live Q&A Chat opportunities (Monday, June 28, 2021)
  • Live Parallel Technical Sessions with live Q&A Chat opportunities (Tuesday-Wednesday, June 29-30, 2021)
  • On Demand Oral Sessions (Starting Monday, June 28, 2021)
  • On Demand Poster Sessions with a Mix of Pre-recorded (Video or Audio) Talks and/or PDF files
  • Live and On Demand Sessions available on Mobile App/Online Scheduler through July 31, 2021 and then to AVS members in the AVS Technical Library

AVS ALD/ALE 2021 Web

Note: Live Sessions will also be recorded and added to the On Demand Sessions.




ALD Program Chairs
 
Program Chair:
Seán Barry (Carleton University, Canada)

Program Co-Chair:
Scott Clendenning (Intel, USA)
ALE Program Chairs

Program Chair:
Jane Chang (University of California, Los Angeles, USA)

Program Co-Chair:
Thorsten Lill (Lam Research, USA)

Intermolecular at the AVS ASD2021 Workshop, April 6-8.

Join Milind Weling as he talks with fellow panelists Robert D. Clark (TEL) Annelies Delabie (imec), Adrie Mackus (Eindhoven University of Technology) Anuja De Silva (Lam) on Area Selective Deposition at ASD2021 on April 8 at 12:50PM CDT, 10:50 AM Pacific. Moderated by Rudy Wojtecki (IBM).



Join EMD Electronics and program co-chairs John Ekerdt & Stacey Bent at the Area Selective Deposition Workshop, April 6-8.

Day 1: April 6
Poster Session at 2 pm • Lanxia Cheng presents “Area Selective Deposition of Electronics using Multilayer SAM as Surface Passivation.”

Day 2: April 7
Session 4 is chaired by Ravindra Kanjolia., EMD Electronics

Poster Session at 2 pm • Lanxia Cheng presents “Area Selective Deposition of Electronics using Multilayer SAM as Surface Passivation.”

Day 3: April 8
Session 8 at 11:30 am • Ravindra Kanjolia presents, EMD Electronics “Strategies for Area Selective Deposition: From Inherently Selective Precursors to Inhibitor Molecules and Processes.”

12:50 pm • Milind Weling participates on a panel discussion “Area Selective Deposition.”

Wednesday, March 31, 2021

Canada's Gratomic Enters EV Battery Supply Chain With Forge Nano and ALD

TORONTO, ON / ACCESSWIRE / Wednesday, March 31, 2021 / Gratomic Inc. ("GRAT", "Gratomic" or the "Company") (TSXV:GRAT)(OTCQX:CBULF)(FRANKFURT:CB82) is pleased to announce its plans to build a pilot facility (the "Facility") to internally process up to 1,000 tons of SG16 battery grade anode materials for the booming Electronic Vehicle ("EV") battery market in the second half of 2021. This initial pilot facility, if successful (as demonstrated by internal testing), is expected to be followed by the construction of a demonstration facility, which will process up to approximately 2,000 tons per annum beginning in 2022 and up to 20,000 tons per annum once the demonstration facility is converted into a full final production phase. The facility will be located at the Company's owned warehouse located at the Port of Luderitz Bay. While Gratomic completes the development of the pilot facility graphitic material will be shipped to Forge Nano, Inc. ("Forge Nano") for Atomic Layer Deposition ("ALD") coating in preparation for use as a battery anode material. This processing facility will enable the Company to take our raw graphite material to the final stages required for use in a battery anode application.


Forge Nano's ALD coating technology for use in lithium-ion battery anode applications, could result in significant gains in performance to Gratomic's SG16 battery graphite compounds, as per our press release dated October28. The Company has therefore entered into a cooperative agreement with Forge Nano, a leading expert in the field of battery materials (www.forgenano.com), to not only undertake the ALD coating process but also to assist in the planning and development of GRAT's aforementioned processing facility. Battery anode materials generally consists of three stages of processing: micronization, spheronization and coating. Forge Nano specializes in the final coating stage with their patented ALD coating technology. This facility will work in tandem with the Company's Aukam vein graphite project, which is now in its commissioning phase (See Company's press release dated March 29).

Procurement of the equipment system capable of applying ALD coatings for use in the Facility will be released by Forge Nano upon the successful completion of various performance and cost milestones (See "Scope of Collaboration" below).

Gratomic's Ludertiz Bay property covers 6,564 square meters and contains three buildings including a large warehouse, a double garage with six storerooms/workshops and a single garage with two offices and a store-room. The Company intends to use the warehouse for the ALD processing and packaging of its graphite. The property provides Gratomic with waterfront access to the Port of Luderitz and to container facilities, enabling direct delivery to ports in Europe, Asia and North America.

Furtehr details: LINK

Tuesday, March 30, 2021

Picosun Group demonstrates strong growth in 2020 - Turnover grew by 38,6%

ESPOO, Finland, 30th of March 2021 – Picosun Group reports strong growth and a positive operating profit from its fiscal year 1.1.2020-31.12.2020 (LINK)

Fiscal year 2020 in short*
• Order intake grew by 48,4% and was €40,9M (€27,5M)
• Turnover grew by 38,6% and was €37,6M (€27,1M)
• Operating profit was €0,9M (€-0,3M), which is 2,5% (-1,1%) of the turnover

A bigger portion of the new order intake and turnover, compared to previous years, consisted of sales of machines meant for production in the industry and medical sector. The growth was especially strong in Asia and Europe. Service business represented 16% (12%) of 2020 order intake.

“Despite the COVID-19 challenges we were able to continue on our growth path as planned. The pandemic led to increased investments in the medical sector. Also, remote work and the increased interest in entertainment applications grew the demand of production machines in electronics and semiconductor industries”, states Jussi Rautee, CEO of Picosun Group.

New investments in medical industry

Picosun has been involved in product development for the medical sector for some time. Protecting for example medical implants with ALD from the corrosive environment of the human body, and vice versa, is of utmost importance considering the safety, correct operation, and lifetime of the implant.

The company brought a number of significant new products to the semiconductor market. Here the PICOSUN® Sprinter, a high throughput ALD production module for 300 mm wafers, was launched late 2020.

The company established a new business area, PicoMedical, in response to the increased interest and needs of the medical and healthcare ALD segments. PicoMedical’s order intake in 2020 constituted 10% of the company’s new order intake.

”We in Picosun believe that ALD will disrupt the medical industry the same way it did in the semiconductor industry. Our aim is to be the forerunner in this development”, says Jussi Rautee.

Almost a fifth of company’s turnover to research and development


During 2020 Picosun Group continued investing in its R&D functions. The company invested 18% of its turnover to various research and development activities. During 2020 Picosun filed 16 new patent applications at the European Patent Office, and 34 patent applications in various countries were granted to Picosun.

In 2020, Picosun made the top 10 list of paten applications in Finland, with Nokia as number 1.

The company brought a number of significant new products to the semiconductor market. PICOSUN® Sprinter, a high throughput ALD production module for 300 mm wafers, was launched late 2020. PICOSUN® Morpher product family, designed for 200 mm wafers, grew with Morpher P (PE-ALD). For easy, intuitive and user-friendly operations of the whole PICOSUN® ALD cluster, the company launched its unified control software PicoOS™.

“Our commitment to meet our customers’ needs proactively and ensuring their future success resulted in the development work and launches that took place in 2020. This is part of ongoing work that we are continuing this year. We are also further developing ways to be in contact with our customers. Last year we took into use the leading remote support tools, taking advantage of e.g. augmented reality, that allow safe and on-time service delivery no matter the physical location of the customer”, says Jussi Rautee.

*Numbers in the brackets are 2019 non-audited consolidated numbers based on management reports.

Friday, March 26, 2021

Samsung confirms first HKMG for DDR5 DRAM

ASM International recently acknowledged that ALD High-k/Metal Gate (HKMG) is finally in high volume production for DRAM (LINK). Now Samsung confirms that. This is a small victory for all people working on this process for such a long time. My first tool ownership when I moved to Germany and started at Infineon was an ASM Polygon 200 mm cluster with a Pulsar 2000 chamber running HfO2, TiN, TiHfN, TiAlN, Al2O3, and my not fully understood HfN ALD process and a Poly chamber that I never really cared too much about. Press release below - and now do the maths - how big this business is once rolled out for all DRAM technologies to come - yeah $$$, many tulips indeed.



Samsung Develops Industry’s First HKMG-Based DDR5 Memory; Ideal for Bandwidth-Intensive Advanced Computing Applications

512GB capacity DDR5 module made possible by an 8-layer TSV structure
HKMG material reduces power by 13 percent while doubling the speed of DDR4


Samsung Electronics, the world leader in advanced memory technology, today announced that it has expanded its DDR5 DRAM memory portfolio with the industry’s first 512GB DDR5 module based on High-K Metal Gate (HKMG) process technology. Delivering more than twice the performance of DDR4 at up to 7,200 megabits per second (Mbps), the new DDR5 will be capable of orchestrating the most extreme compute-hungry, high-bandwidth workloads in supercomputing, artificial intelligence (AI) and machine learning (ML), as well as data analytics applications.



“Samsung is the only semiconductor company with logic and memory capabilities and the expertise to incorporate HKMG cutting-edge logic technology into memory product development,” said Young-Soo Sohn, Vice President of the DRAM Memory Planning/Enabling Group at Samsung Electronics. “By bringing this type of process innovation to DRAM manufacturing, we are able to offer our customers high-performance, yet energy-efficient memory solutions to power the computers needed for medical research, financial markets, autonomous driving, smart cities and beyond.”

“As the amount of data to be moved, stored and processed increases exponentially, the transition to DDR5 comes at a critical inflection point for cloud datacenters, networks and edge deployments,” said Carolyn Duran, Vice President and GM of Memory and IO Technology at Intel. “Intel’s engineering teams closely partner with memory leaders like Samsung to deliver fast, power-efficient DDR5 memory that is performance-optimized and compatible with our upcoming Intel Xeon Scalable processors, code-named Sapphire Rapids.”

Samsung’s DDR5 will utilize highly advanced HKMG technology that has been traditionally used in logic semiconductors. With continued scaling down of DRAM structures, the insulation layer has thinned, leading to a higher leakage current. By replacing the insulator with HKMG material, Samsung’s DDR5 will be able to reduce the leakage and reach new heights in performance. This new memory will also use approximately 13% less power, making it especially suitable for datacenters where energy efficiency is becoming increasingly critical.

The HKMG process was adopted in Samsung’s GDDR6 memory in 2018 for the first time in the industry. By expanding its use in DDR5, Samsung is further solidifying its leadership in next-generation DRAM technology.

Leveraging through-silicon via (TSV) technology, Samsung’s DDR5 stacks eight layers of 16Gb DRAM chips to offer the largest capacity of 512GB. TSV was first utilized in DRAM in 2014 when Samsung introduced server modules with capacities up to 256GB.

Samsung is currently sampling different variations of its DDR5 memory product family to customers for verification and, ultimately, certification with their leading-edge products to accelerate AI/ML, exascale computing, analytics, networking, and other data-intensive workloads




Thursday, March 25, 2021

Forge Nano on ALD for Radio frequency (RF) and power electronics

WHITE PAPER by Forge Nano : Radio frequency (RF) and power electronics are vital to an array of industries, from telecom and consumer electronics to transportation and energy distribution. As energy diversification and the prevalence of high-speed electronics continue to grow, RF and power electronics are expected to reach a global market of $36.6 billion by 2027. Extreme environments such as high temperatures, ultra-violet radiation, oxygen, salinity, and moisture are all threats that degrade and corrode active components causing early failure. Atomic layer deposition (ALD) has created substantial improvements to the reliability and performance of RF and power electronics. Using ALD as an encapsulation layer at the wafer level or as a final hermetic seal at the chip/module/PCB level has been shown to substantially improve electronic performance and lifetime. ALD layers enable longer lifetimes, higher performance and lower cost without adding the considerable mass gain and high temperature processing associated with conventional hermetic coatings.

Check out this fast ALD-Beast: LINK





ALD Advantages:
  • Hermetic sealing encapsulation layers with minimal thickness
  • Pristine conformality in high-aspect ratio structures
  • Ultra-low particle generation
  • Low stress films
  • Improved lifetime of circuits and PCBs
  • Increased resistance to harsh environments
  • No peeling or flaking of the hermetic seal at atmospheres >1200 PSI
  • Negligible mass gain from coating at 100 nm

Wednesday, March 24, 2021

Canon, SCREEN and Tokyo Electron to join Japan advanced chipmaking project for 2nm

Canon has partnered with Tokyo Electron and Screen Semiconductor Solutions to develop advanced chipmaking production technology with support from the Japanese government according to a report by Nikkei Asia.

♦ The $386mil USD funding from the Japanese government is through the National Institute of Advanced Industrial Science and Technology, along with the Ministry of Economy, Trade and Industry (METI).
♦ Japans semiconductor production industry has lost ground in recent years to Taiwanese chipmakers and companies like Intel.
The goal is to develop and implement a 2-nanometer or smaller process for chips by the mid-2020s.

Source (Paywall): LINK


Tokyo Electron semiconductor fab professionals shuffling wafers (credit: Tokyo Electron)

Intel is spending $20 billion to build two new chip plants in Arizona

Intel announced on Tuesday that it will spend $20 billion to build two major factories in Arizona. The news comes amid a worldwide chip shortage that is snarling industries from automobiles to electronics and worries the U.S. is falling behind in semiconductor manufacturing. The announcement signals that Intel will continue to focus on manufacturing.

Next chance to get deep insights to Intel quality demands and advanced metrology & analytic for the material supply chain will be at the CMC2021 Conference, broadcasted from San Diego, USA, APril 14-15:

KEYNOTE : Jeanne Yuen-Hum, Vice President of Manufacturing & Operations, and Director of Global Supply-Chain Quality & Reliability, Intel Corporation "The Cost of Quality"

Alex Tregub, PhD Staff Engineer Intel Corporation "From Egyptian Royal Cubit to SEMI Guides for CMP consumables – Industry Standards"

Applied Materials may kill $3.5B buy of Japanese ALD and CVD Furnace Company Kokusai over delayed approval by China

Applied Materials Inc. on Monday said that its $3.5 billion offer to buy Kokusai Electric Corp. may be terminated because the deal hasn't been able to get timely approval from Chinese regulators. The Santa Clara chip manufacturing equipment company set a March 19 deadline on the deal late in December when it increased how much it would pay KKR HKE Investment L.P. for the Japanese company. The original price was $2.2 billion. But it said on Monday that it will likely now pay KKR a termination fee.


Trouble to reach approval in China has been foreseen by several analysts since the announcement 2019, see below for more details.

  • Kokusai is a small acquisition for Applied materials as compared to the previously failed mega-merger with Tokyo Electron
  • Kokusai, which counts Samsung, SK Hynix, Toshiba, and Micron among its top customers, reported revenue of $1.24 billion as of March 2018.
  • Kokusai’s batch wafer processing tools are less technology-intensive than Applied Materials’ single wafer tools, the recent focus on ultra-thin films has driven renewed interest in this group.
Background: 
  • Impacts of the US-China trade conflict on the semiconductor equipment market and ALD LINK
  • ASM International settles with Kokusai for Batch ALD patent licenses (US$61 million) LINK
  • Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD LINK

Friday, March 19, 2021

Picosun Group is among the 10 biggest patent applicants in Finland

Impressive! Besides having the most famous ALD patent from 1974 by Dr. Tuomo Suntola. In 2020 the number of Finnish patent applications done for the European Patent Office increased by over 11% compared to the previous year. Picosun Group is among the 10 biggest patent applicants in Finland. VTT Technical Research Centre of Finland is No.5 and obviously Nokia No.1


Right now Picosun is steaming ahead with its brand new Sprinter 300 mm cluster batch ALD platform and I am convinced they will travel further up on the top 10 list as well as the Tier 1 ALD equipment supplier ranking in the years to come.



Woxna AB in Sweden & Forge Nano USA to evaluate ALD coated graphite anode material for Lithium batteries

Sweden is steaming ahead in the Li-battery supply chain with Gigafab activities in North Sweden by Northvolt and traditionally have a strong Automotive sector led by Volvo Cars, Volvo Trucks, and Scania. Perhaps less known, there are also vast sources of graphite in Sweden and Woxna AB is one of the key mining companies for supplying graphite, which is a material needed for the anode in Li-battery production. So now the cool news - Woxna AB is going with ALD to coat the graphite anode material dug up at various places in Sweden! If the demonstrations are successful we are looking at a future demonstration plant at one of Woxnas graphite mining locations in Sweden - obviously, we will then move any plans of the ALD Sweden Conference to somewhere near that location and ask King Carl Gustav to join us in celebrating this historical milestone *fingers crossed*

ALD Company, Forge Nano, and Leading Edge Materials Corp., through its subsidiary Woxna Graphite AB are pleased to announce development work on the coating of graphite anode material from Woxna using Forge Nano's proprietary Atomic Layer Deposition technology (Press release: LINK).


Leading Edge Materials commenced graphite production at the Woxna Graphite project in July 2014. Woxna is strategically located in central Sweden, on the doorstep of a diverse range of European graphite consumers. Woxna is a fully permitted site, with an open pit mine, graphite processing facility and tailings storage dam already constructed. The site is currently permitted to feed 100,000 ton of graphitic rock per year, which allows for the production of approximately 10,000 tons of graphite concentrate (Source: Woxna Graphite AB, LINK)

From the press release: Compared with traditional coating technologies Forge Nano's ALD coatings offer added benefits such as the ability to control the thickness of the coating at the nanoscale, lower costs, reduced carbon footprint and equipment ready for commercial-scale production. As part of the agreement, Woxna will send samples of spherical purified graphite to Forge Nano for coating, performance testing, and evaluation against other previously carbon-coated Woxna spherical graphite materials. If successful, the agreement outlines a path to purchase equipment from Forge Nano with a capacity suited for deployment in a future demonstration plant at the Woxna graphite mine.

Filip Kozlowski, CEO of Leading Edge states "Coating is the last and most valuable step towards becoming a future active anode materials producer in Europe. Being offered the opportunity to collaborate with a market leader in this field like Forge Nano is a great step forward for the Woxna Graphite project. The advantages of Forge Nano's ALD coating for anode materials are well documented and with the support of some significant European investors in the battery value chain their technology could be the perfect solution to enable a sustainable source of high-performance active anode materials from Sweden."

Thursday, March 18, 2021

AlixLabs AB launched ALE Pitch Splitting (APS) for the first time at ALD & ALE Ireland 2016

We did not want to steal the thunder from our good Irish friends and St. Patrick Day we wish you all a healthy recovery today and breakfast for Champions. Yesterday we remembered our trip to Dublin and launching our proprietary technology, APS - ALE Pitch Splitting, for the first time to a bigger audience. Since then a lot of things has happened at AlixLabs AB in Lund, Sweden:

  • We received soft funding and invest support to found the company by LU Holding (LINK) - AlixLabs AB in Lund, Sweden operating out of IDEON Science park (LINK) and Lund Nano lab at Lund University
  • We received SwedishVinnova grant for "Innovative startups" 93 startup-bolag får dela på 28 miljoner | Vinnova
  • We hired our first Full-time employee Dr. Mohammad Karim, Principal Scientist (LINK)
  • We signed an agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology - Lund Nano Lab (LINK)
  • We applied for an Additional 2.7 MSEK EU and National Swedish Soft co-funding in early 2021 and have additional 3 projects in the pipeline.
  • We have started our 1st Investment round to close before Swedish Midsummer - please get in touch if you want a meeting! (jonas@alixlabs.com or LinkedIn: LINK)


ALE Pitch Splitting (APS) taking place at the Lund Nano Lab exhibition stand in the ALD Ireland 2016 Industry Exhibition.

Video from presentation invited given by Dr. Dmitry Suyatin LINK. Staff Engineer at Lund Nano Lab and CTO of AlixLabs AB and on the Scientific Committee of AVS ALE.


A possible starting point of ALE Pitch Splitting explained best using Guinness Beer Barrels.


Prof. Samuelson from Lund University opening ALD Ireland 2016


Dr. Simon Elliott, main Chair of the Conference, teaching us how to tap a Guinness with a perfect solid head. Then at Tyndall National Institute and now Director of  Atomic Level Simulation at Schrödinger.


Dr. Dmitry Suyatin AlixLabs to be CTO at the Poster Session after his invited talk on ALE Pitch Splitting (Abstract LINK).


Interesting discussions with the Inventor of the Entegris/ATMI ProEvap Technology, Ravi Laxman, for solid precursor delivery in HVM at all the leading IDMs and Foundries since 2007.


Social Event by Air Liquide and Picosun at The Temple Bar in Dublin


Social Event by Air Liquide and Picosun at The Temple Bar - all enjoying a few pints of Guinness.


Industry panel on the future of ALD and ALE with the leading expertise Prof. Ritala (Helsinki University, Prof Roozeboom (TU Eindhoven/TNO), Dr. Suvi Haukka (ASM Micro Chemistry), Dr. Jean Marc Girard (Air Liquide and Dr. David Thompson (Applied Materials)


Moderation by ALD2016 Co-ChairDr. Jonas Sundqvist, then Operation Manager of Lund Nano Lab.


Green Beam splitting at the Gala Dinner & Award ceremony.


The fantastic Conference Centre in Dublin by night, The Convention CentreDocklands, Dublin 

All photos above by Herr und Frau Dr. Knaut (C)2016 (https://www.katharinaknaut.com/index.php?seite=archiv&name=201608jonasald)


Get your ALD-VIP Coupon Code for The Critical Materials Conference CMC2021, 14-15 April 2021

Hey ALD Folks The Critical Materials Conference CMC2021, 14-15 April is coming up with some really powerful guest that will give you deep insights beyond the typical ALD conference offering on topics lie the semiconductor and global economics, Logic Foundry High Volume Manufacturing, Cost of Quality, Metrology and Big Data Machine Learning and Data Crunching for Plasma ALD.

ALD-VIP Coupon Code: Connect2Techcet-75

Carefully selected and invited talks for the ALD-community!
  • Keynote: Jeanne Yuen-Hum, Vice President of Manufacturing & Operations, and Director of Global Supply-Chain Quality & Reliability, Intel Corporation on "The Cost of Quality"
  • G. Dan Hutcheson & Risto Puhakka, CEO & President, VLSI Research Post Pandemic – Semiconductor Industry Trends, Chaos or Order?
  • David Thompson, PhD, Managing Director, Chemistry & Device Materials, Applied Materials Maximizing Chemical Utilization & Quality in Precursor Delivery
  • Lian-Chen Chi 紀良臻, PhD, Nano-Materials Center Manager, TSMC Materials Quality vs. Technology Ing. Kutup Kurt, PhD, Head of Data Science, MERCK EMD/Versum Power of Hybrid Approach for Data-driven Process Optimization in Semiconductors Industry
  • Hugh Gotts, PhD, International Fellow, Air Liquide ALD & CVD Precursors Metrology and Analytics Trends- Driving toward PPQ
  • Tsuyoshi Moriya, PhD, MBA, VP Advanced Data Planning, Corporate Innovation Division, TEL The Impact of Machine Learning on Processes & Materials

As a bonus the 1st day we will have a virtual round table discussion on Materials Roadmaping in the industry. All participants are welcome to join.

Conference web for registration and agenda: https://cmcfabs.org/agenda-2021/



Tuesday, March 9, 2021

CN1 from South Korea showing all their ALD tools in a new video

Here is a nice new promotional video on CN1 ALD product offering showing a lot of detail into chambers and gas boxes for all you ALD geeks out there! CN1 was established in 2008 in Gyeonggi-do South Korea, and has a very broad ALD product portfolio including single water reactors, cluster tools and large batch ALD furnaces called Mega. Please find their complete offering here: LINK. According to the information in the video they have exported ALD tools across the globe to USA, Russia, Singapore, China and more.



I like CN1 very much since amongst all the great Laboratories in South Korea they also link to The BALD Engineering ALD Blog and I am also very proud to be listed as one of the two "The Best ALD News Blogs"! LINK Now I also know what the B in BALD really stands for :-)





ALD Stories podcast with Dr. Katja Väyrynen, Process Development Engineer at Beneq

On this episode of the ‘ALD Stories’ podcast, We speak to Dr. Katja Väyrynen, Process Development Engineer at Beneq. What is the latest ALD research on improving the performance of microchips? Will cobalt become a popular material in semiconductor manufacturing? Hear her journey from the video above and read more about ALD Stories here: https://beneq.com/en/podcast/


Tutorial - ALD for energy conversion and storage applications, Prof. Adriana Creatore - Eindhoven University of technology

Atomic Layer Deposition for energy conversion and storage applications by Prof. Adriana Creatore - Eindhoven University of technology. The tutorial was given at Solliance Day 2021 - 28 January 2021 Workshop sessions.



April 6-8 5th Area Selective Deposition Workshop (ASD 2021)

In an effort to help facilitate the progression of ASD techniques, The University of Texas at Austin University is proud to host the 5th Area Selective Deposition Workshop (ASD 2021), which will be held on April 6-8, 2021. The Area Selective Deposition Workshop scheduled for April 2-3 (ASD 2020) was postponed in March 2020 due to public health concerns relating to the coronavirus disease (COVID-19) and was later canceled in anticipation of ASD 2021. ASD 2021 retains much of the character of previous workshops and what was intended for ASD 2020, albeit in a virtual format. This year’s workshop will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges.
Key Deadlines:
Early Registration Deadline: March 16, 2021
Questions? Contact ekerdt@utexas.edu



The workshop will begin at 10:00 am (CST) and end at 3:00 pm on April 6 and 7 and at 2:00 pm on April 8. Times have been selected to enable participation for registrants spanning the West Coast to Europe. The workshop will include live sessions consisting of invited and contributed talks and panel discussions. A session moderator will ask questions that are entered onto the meeting presentation platform from registrants. Live poster sessions will be held after the oral presentation sessions on April 6 and 7; poster presenters will preload five-minute oral highlights of their poster one week before the workshop.

Invited speakers:
    • Chris Bates, UC Santa Barbara, USA
    • Fabio Grillo, ETH Zurich, Switzerland
    • Ravi Kanjolia, EMD Electronics, USA
    • Shashank Misra, Sandia National Labs, USA
    • Ainhoa Romo Negreira, TEL, Belgium
    • Tania Sandoval, Universidad Técnica, Chile
    • Kavita Shah, Nova, USA
    • Amy Walker, UT Dallas, USA
    • Charles Wallace, Intel, USA

Saturday, March 6, 2021

ASM International confirms that ALD HKMG is in High Volume Manufacturing for DRAM - The 2nd Switch is on!

I get this question continuously and also use it for modeling the high-k precursor forecast as provided by TECHCET - has ALD High-k/Metal Gate stacks moved into DRAM peripheral transistors?

When at Qimonda (R.I.P) we developed a HfSiO process or the peripheral Logic and qualified a number of OEMs for this one including ASM Pulsar 3000, Jusung Cyclone+ Spatial ALD, and TEL Furnace ALD. ASM has since Intel 45 nm been the leader in the HKMG module. Later they also fended off the competition from Applied Materials and Tokyo Electron MOCVD option trying to enter the foundries that were just too hot for the integration moving to lower thermal budgets. 

So now finally I can give an answer with a public reference to the question - yes ALD is in HVM for DRAM HKMG peripheral transistors! The Switch is on also for DRAM - have a nice weekend!

Benjamin Loh (ASMI CEO), answers on financial analyst question about if ASM has ALD tools in the field for DRAM high-k/metal gate:

"Mark thanks. So, of course, first of all, maybe let's talk about the memory parts of, in DRAM we started quite some time ago, we have been qualified for the high-k/metal gate in the DRAM periphery transistor. So right now, what you see for example, and what is called in the industry as high-performance DRAM. I think they are using our ALD for the mass for the high-volume manufacturing." 

Please find the full Q4/2020 investor call transcript here provided by Seeking Alpha: LINK (you have to create a profile to get full access)


TEM images of (A) 30 nm and (B) 65 fin height, of 15nm fin width, as used in a recent Imec study of HKMG FinFETs for peripheral DRAM Logic. DOI: 10.1109/IIRW47491.2019.8989914 Conference: IEEE International Integrated Reliability Workshop, IIWR'19 At: Stanford Sierra Conference Center Fallen Leaf Lake Tahoe, CA, USA


ASM’s Pulsar uses ALD to deposit the high-k dielectric materials required for advanced CMOS transistor gates ​and other applications. Pulsar is the benchmark ALD high-k tool for the industry. It was the first ALD system to be used for high-volume production at advanced customers for high-k metal gate transistors. (www.asm.com)