Wednesday, November 13, 2019

New Liquid phase Atomic Layer Deposition (ALD) — A Breakthrough in ALD

Chemical engineers at Ecole Polytechnique Federale de Lausanne, Switzerland, invented ALD in the liquid phase that can produce materials indistinguishable from those made in the gas phase, with far cheaper equipment and no excess precursors. The researchers achieved this breakthrough by carefully measuring the ratio of the reacting precursors before injecting them onto the surface of a substrate. This way, they used exactly the right amount of precursor, with no leftovers that can cause unwanted reactions or be wasted. 

The new method also reduces costs by requiring only standard lab equipment for chemical synthesis. It can also be easily scaled up to coat more than 150 g of material with the same cheap equipment, without loss of coating quality. The technique can even achieve coatings that are usually not possible using gas-phase ALD, e.g., by using volatile precursors with extremely low volatility.

More information:


A cheaper way to scale up atomic layer deposition, Phys.org (LINK)

Benjamin P. Le Monnier et al. Atomic Layer Deposition on Dispersed Materials in Liquid Phase by Stoichiometrically Limited Injections, Advanced Materials (2019). DOI: 10.1002/adma.201904276

----------
By Abhishekkumar Thakur

Monday, November 11, 2019

ASM International launches A400(TM) Duo vertical furnace system with dual reactor chambers

New system addresses 200mm applications with high productivity and low cost of ownership

Munich - ASM International N.V. (Euronext Amsterdam: ASM LINK) today introduced the A400™ DUO vertical furnace system with dual reactor chambers for wafer sizes of 200mm and smaller. The system’s DUAL Boat reactors produce high throughput, increasing reactor utilization to a very high percentage, while ensuring low capex.

“The new A400™ DUO reactor ensures that ASM will extend its position as a leader in the market for Power, Analog, RF, and MEMS applications,” said Hichem M’Saad, ASM Executive Vice President, Global Products. “As 200mm manufacturing began its renaissance, driven by growth in for instance IoT devices, it became clear that our existing furnace technology could still achieve industry-leading results. Combining our technology with the latest innovations in robotics and controls has significantly enhanced the system’s manufacturing capabilities to meet today’s production targets.”



The new DUO is compatible with the original A400™, so existing process recipes can be easily transferred, accelerating system ramp. The system has secured production qualification from multiple customers in Europe, the United States and Asia, including several leaders in power, RF, and MEMS device manufacturing. To date over 20 reactors have been shipped, with a healthy outlook for further shipments.

ASM’s original A400™ vertical furnace system has a proven track record of more than 1000 reactors shipped to customers worldwide and over 25 years of maturity in semiconductor manufacturing. The new system has been modernized to support a variety of growing markets including silicon power, wide band gap semiconductor power, analog, RF and MEMS devices. With its updated control system, software with an intuitive graphical user interface, predictive maintenance by advanced control diagnostics, new robot, and plug-and-play installation, customers can count on the A400™ DUO delivering increased reliability with production output that achieves better repeatability, productivity, and time utilization.

Like its predecessor, the A400™ DUO offers a comprehensive portfolio of process applications including low pressure chemical vapor deposition (LPCVD) processes like doped silicon and silicon nitride films, diffusion processes such as wet oxidation and anneal processes.

Thursday, November 7, 2019

NASA ALD coating to protect Lunar Astronauts and their equipment

"Constructing a large-volume atomic layer deposition system to create kits that can coat large surface areas, such as rover surfaces, for testing can further benefit technologies for lunar exploration,"

NASA's coating technology could help resolve lunar dust challenge

(Text re-published from: Goddard Space Flight Center LINK

An advanced coating now being tested aboard the International Space Station for use on satellite components could also help NASA solve one of its thorniest challenges: how to keep the Moon's irregularly shaped, razor-sharp dust grains from adhering to virtually everything they touch, including astronauts' spacesuits. 


Although the coating wasn't originally conceived for lunar dust busting, "it's compelling for this application," said Bill Farrell, a scientist at NASA's Goddard Space Flight Center in Greenbelt, Maryland, who heads a NASA-sponsored research organization, Dynamic Response of the Environments at Asteroids, the Moon, and moons of Mars, or DREAM2, which studies the lunar and Martian environments. The agency considers lunar dust to be among the top challenges to mitigate as it aims to establish sustainable exploration of the Moon by 2028 under its Artemis Program.

Mitigating Electrical Build-Up

Goddard technologists Vivek Dwivedi and Mark Hasegawa originally created the coating for an equally important job: they wanted to create a coating that would help "bleed off" the build-up of electrical charges that can destroy spacecraft electronics. These potentially mission-ending build-ups occur when spacecraft fly through plasma found within Earth's magnetosphere. Plasma contains trapped charged particles that conduct electricity, contributing to the build-up.

Hasegawa's idea was to use an advanced technology called atomic layer deposition to apply super-thin films of indium tin oxide—an effective compound for dissipating electrical charges—onto dry pigments of paint. Once mixed, the paint could then be coated on radiators and other spacecraft components to help mitigate the build-up of electrical charges.

Used ubiquitously by industry, atomic layer deposition involves placing a substrate or sample inside a reactor chamber, which is like an oven, and pulsing different types of gases to create an ultra-thin film whose layers are literally no thicker than a single atom. The beauty of this technique is the fact that it can be applied on virtually anything, including three-dimensional objects.

To test the effectiveness of the pigment-treated paint, Dwivedi and his team then prepared a handful of coated coupons or wafers, which are now being exposed to plasma from an experiment pallet aboard the International Space Station. Hasegawa and Dwivedi expect to get their samples later this year for analysis.

Same Plasma, Same Trouble

As it turns out, the plasma that can damage electronics as spacecraft fly through Earth's magnetosphere is also the source of the Moon's dust problem.

The Moon's dust is made up of ultra-tiny grains—formed by millions of years of meteorite impacts that repeatedly crushed and melted rocks, creating tiny shards of glass and mineral fragments. Not only can they travel at hurricane-like speeds, but they also cling to all types of surfaces, not only because of their jagged edges, but also because of their electrostatic charge.

On the day side of the Moon, harsh, unshielded ultraviolet radiation from the Sun kicks electrons off the dust particles in the upper layers of the lunar regolith or soil, giving the surface of each dust particle a net positive charge. On the dark side as well as in the polar regions, the situation is a little different. Plasma flowing out from the Sun also charges the lunar surface, but, in this case, it deposits electrons and creates a net negative charge. It gets more complex at the terminator where the two sides meet and even stronger electric fields develop—all of which could affect humans or technology that land on the Moon.

For astronauts, the situation will be made worse because they carry their own charge and, as the Apollo missions proved, will attract dust as they rove about the Moon. Because NASA has eyed the Moon's southern pole for possible human habitation, it's especially important that NASA develop efficient ways to dissipate these charges, Dwivedi said.

That got Dwivedi thinking. Why not apply the coating to Moon rovers and even habitats, or use atomic layer deposition to treat the fibers in spacesuit material?

"We have conducted a number of studies investigating lunar dust. A key finding is to make the outer skin of the spacesuits and other human systems conductive or dissipative," Farrell said. "We, in fact, have strict conductivity requirements on spacecraft due to plasma. The same ideas apply to spacesuits. A future goal is for the technology to produce conductive skin materials, and this is currently being developed."

More Research Underway

Working in collaboration with Farrell, Dwivedi and his team, including University of Maryland researcher Raymond Adomaitis, now plan to further enhance their atomic layer deposition capabilities. The team plans to construct a larger reactor, or oven, to increase the yield of the charge-mitigating pigment, which they would then apply to coupons and spacesuit material for testing.

"Constructing a large-volume atomic layer deposition system to create kits that can coat large surface areas, such as rover surfaces, for testing can further benefit technologies for lunar exploration," Farrell said.


Wednesday, November 6, 2019

SAVE THE DATE - ALD For Industry 2020, March 31 to April 1 2020 in Freiburg, Germany

A topical workshop with a focus on industrialization and commercialization of ALD for current and emerging markets Atomic Layer Deposition (ALD) is used to deposit ultraconformal thin films with sub-nm film thickness control. The method is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. Today, ALD is a critical technology in leading edge semiconductor technology, and the field of application in other industries is increasing rapidly. According to the market estimates, the equipment market alone is currently at an annual revenue of US$ 1.8-1.9 billion (2018), and it is expected to double in the next 4-5 years. In a European context, ALD was invented independently twice in Europe (Russia & Finland), and since the last 15 years, Germany has grown to become one of the most active European markets for ALD in R&D, chemicals, equipment, and end-users.
 

The event is open for Exhibition & Sponsoring as well as contributing Talks & Tutorials. Please contact.

Jonas Sundqvist: E-mail
Katrine Ferse:  E-mail
 
 
This year we will organize the 4th EFDS ALD For Industry Workshop in South Germany (Freiburg), much closer to the other ALD hubs in continental Europe in France, The Netherlands, Belgium, Italy, and Switzerland. ALD for Industry provides the opportunity to get in contact with industrial and academic partners to learn more about the fundamentals of ALD technology and to get informed about recent progress in the field. The event will focus on the current markets for ALD and addresses the applications in the Semiconductor industry, MEMS & Sensors, Battery Technology, Medical, Display, Lightning, Barriers, and Photovoltaics.
 
 
 Freiburg, Germany with a central location in Europe and Industrial heartland (Google maps LINK)
 
ALD For Industry is a "Green Event" organized at ETAGE accordingly (LINK)

Resources
The heat supply of the building is based on zero emissions, the general electricity is sourced to 100% from renewable energies, lighting is provided by energy-saving lamps and LEDs.

Responsibility
The ETAGE works exclusively with locally-based service providers who source their products and ingredients regionally, seasonally, and fairly produced.

Environmentally friendly
ETAGE attaches great importance to sustainability: from waste separation through eco-certified crockery to beech wood furniture that is 100% sustainable in the region.

Monday, November 4, 2019

Picosun expands selection of biocompatible ALD materials for medical applications

ESPOO, Finland, 4th November 2019 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating solutions for global industries, expands its selection of biocompatible ALD materials to be used in medical applications.

Picosun’s TiO2 and Al2O3 processes are already used in production of surgical implants and in drug particlecoating for controlled drug delivery. Now, also HfO2, SiO2, ZrO2, Nb2O5, Ta2O5, AlN and TiN ALD films manufactured by Picosun have been tested and validated by an independent third party to be non-cytotoxic and safe to human tissues in e.g. implant applications (*).

This wide variety of materials gives great flexibility in designing novel ALD solutions for a plethora of healthcare uses, when the materials can be used either as such, or combined into nanolaminates or doped films with unique, application-wise tailorable physico-chemical properties (**). 

 
 
Microimplant electronics protected by Picosun’s ALD HfO2. No changes after soaking in 87 oC PBS for over 3 months which correlates to over 10 years in human body. T0 = starting point of the test. Reference: InForMed project, image source CEA-Leti.
 
ALD, with its innate ability to create ultra-thin material layers with the highest conformality, uniformity, and structural quality, has enormous potential to solve various key issues in medical applications where implantable devices are involved. Orthopaedic implants, pacemakers, implantable hearing or eyesight aids, microimplants for sensing, monitoring and analysis applications, and brain or heart probes for therapeutic or diagnostic uses all contain parts that are sensitive to the corrosive environment of the human body. Protective encapsulation of these devices is thus needed to ensure their correct operation, long enough operational lifetime, and also to protect the body from the possible rejection reaction or contaminant leakage from the devices’ corroding parts. Various polymer layers have typically been used as encapsulants, but their downside is their thickness and robustness which unnecessarily increases the mass and dimensions of the implant.  

 
TEM micrographs of Picosun’s ALD nanolaminate and oxide encapsulants after one month soaking tests in 87 oC PBS. No corrosion observed.
 
Compared to polymer encapsulation, ALD offers a truly elegant, sophisticated solution to implant manufacturers. Practically massless and invisible, but still dense, flexible, pinhole- and crack-free ALD thin films cover reliably even the smallest microscale surface features of the coated object, they can be applied at moderate temperatures, and – as now analysed in medical industry’s standard tests – several ALD materials are intrinsically biocompatible. As ALD is a mature, key enabling technology in semiconductor and microelectronics manufacturing for decades already, the processes and practises for industrial introduction and ramp-up exist, and can be readily applied to new fields as well.

”Healthcare sector is one of our key strategic directions. Our patented know-how of ALD-based biocompatible protective encapsulation for implantable medical devices has already raised significant interest amongst industry leading companies. We are pleased that we have now even wider portfolio of materials and solutions that we can provide to these companies. Not only can our ALD technology solve several challenges these industries are currently facing, but also enable completely new components and devices to realize future’s healthcare inventions,” states Dr. Jani Kivioja, CTO of Picosun Group.
 
(*) FICAM – The Faculty of Medicine and Health Technology, University of Tampere, Finland: Cytotoxicity tests with cell culture medium according to the ISO 10993-5 standard, and 3 weeks soaking tests in PBS (phosphate-buffered saline) at 87 oC.

An Integrated Cleanroom Process for the Vapor Phase Deposition of Large-Area Zeolitic Imidazolate Framework Thin Films

Alexander John Cruz, Energy Scientist, and Doctoral Candidate, KU Leuven, Belgium recently published an integrated cleanroom process for the vapor-phase deposition of large-area zeolitic imidazolate framework thin films.
Robust and scalable thin film deposition methods are essential to realizing the potential of metal-organic frameworks (MOFs) in electronic devices. Here is the reporting of the first integration of the chemical vapor deposition (CVD) of MOF coatings in a custom reactor within a cleanroom setting. As a test case, the MOF-CVD conditions for ZIF-8 are optimized to enable smooth, pinhole-free, and uniform thin films on full 200 mm wafers under mild conditions.
The single-chamber MOF-CVD process and the impact of the deposition parameters are elucidated via a combination of in-situ monitoring and ex-situ characterization. The resulting process guidelines will pave the way for new MOF-CVD formulations and a plethora of MOF-based devices.


Graphical abstract (as shared on Twitter, LINK)


Journal Publication: Chemistry of Materials, Chem. Mater. 2019Publication Date:October 25, 2019
https://doi.org/10.1021/acs.chemmater.9b03435


Submitted manuscript available for download at ChemRxiv:


----------
By Abhishekkumar Thakur

Sunday, November 3, 2019

An ultrathin integrated nanoelectromechanical transducer based on ALD ferroelectric hafnium zirconium oxide

Nanomechanical resonators fabricated with MEMS technology that can operate in the super high frequency (3–30 GHz) or the extremely high frequency (30–300 GHz) regime could be of use in the development of: 
  • stable frequency references
  • wideband spectral processors
  • high-resolution resonant sensors. 
However, such operation requires the dimensions of the mechanical resonators to be reduced to tens of nanometres, and current devices typically rely on transducers, for which miniaturization and chip-scale integration are challenging. 
 
Recently (LINK), researchers at University of Florida were able to fabricate an ultrathin nanoelectromechanical transducer using 10 nm thin ferroelectric hafnium zirconium oxide (Hf0.5Zr0.5O2) films deposited by ALD on a Veeco CNT Fiji.
 
The figure below summarizes the fabrication process flow for implementation of the 70 nm Si nanomechanical resonators actuated using 10nm Hafnium Zirconium Oxide (Hf0.5Zr0.5O2) film.

MEMS manufacturing flow, as published in the Supporting information (free to download LINK) to Ghatge, M., Walters, G., Nishida, T. et al. An ultrathin integrated nanoelectromechanical transducer based on hafnium zirconium oxide. Nat Electron (2019) doi:10.1038/s41928-019-0305-3.
 
Recommended further reading : An ultrathin nanoelectromechanical transducer made of hafnium zirconium oxide, Tech Explore (LINK)

Saturday, November 2, 2019

As of today BALD Engineering is primarily using the Ecosia search engine

As of today BALD Engineering is primarily using the Ecosia search engine (www.ecosia.org) per default for research producing this blog and other activities, both on Laptops and mobile.


Ecosia still have some weaknesses but have included a link to Google that you can klick for more advanced seraches when needed.

Ecosia supports over 20 tree-planting projects in 15 different countries; Peru, Brazil, Madagascar, Nicaragua, Haiti, Colombia, Spain, Morocco, Senegal, Burkina Faso, Ghana, Ethiopia, Uganda, Kenya, Tanzania and Indonesia. Until today  teh Ecosia users have contributed to the planting of >70 milion trees.

Until today afternoon 1 tree has been planeted (1 tree / 45 searches) - I expect to make another one!


Besides this, the BALD Engineering Head Quarter project "Tropical Garden" is steaming ahead as well. Currently the office is powered by 200 W solar cells providing 24 h light for the office plants and additional heating as well. Next additional insulation and the addition of a 600 W Windpower plant and maybe some pre solar panels should possibly make the office totally of grid.

Micron claim DRAM Technology Leadership As Samsung And SK Hynix Push Out EUV

  • ASML reported that four EUV lithography systems will be pushed out from shipping in 4Q 2019.
  • My analysis suggests Samsung Electronics and SK Hynix are two of the companies pushing our EUV for their memory business.
  • Micron's 1z nm DRAM already is technologically advanced, and are two quarters ahead of Samsung and one year ahead of SK Hynix.

Full article: Micron: DRAM Technology Leadership As Samsung And SK Hynix Push Out EUV, Seeking Alpha (LINK)


A DRAM roadmap by the Information Network showing Micron’s transition to 1z nm and gain of leadership over rivals Samsung and SK Hynix.

Thursday, October 31, 2019

Atomic layer deposited Pt-Ru dual-metal dimers and identifying their active sites for hydrogen evolution reaction

Here is an interesting article on how to nucleate ALD on the rather inert CNT surface, or rather nitrogen doped CNTs. It seems to be straight forward:

1. NCNT synthesis by ultrasonic spray pyrolysis according with imidazole as carbon and nitrogen source, and ferrocene as the catalyst precursor.

2.  Thermal ALD in a CNT Savannah 100 using MeCpPtMe3 and bis(ethylcyclopentadienyl)ruthenium respectively. 

Atomic layer deposited Pt-Ru dual-metal dimers and identifying their active sites for hydrogen evolution reaction
Zhang, L., Si, R., Liu, H. et al. Nat Commun 10, 4936 (2019) doi:10.1038/s41467-019-12887-y

Open Access This article is licensed under a Creative Commons Attribution 4.0 International License

Single atom catalysts exhibit particularly high catalytic activities in contrast to regular nanomaterial-based catalysts. Until recently, research has been mostly focused on single atom catalysts, and it remains a great challenge to synthesize bimetallic dimer structures. Herein, we successfully prepare high-quality one-to-one A-B bimetallic dimer structures (Pt-Ru dimers) through an atomic layer deposition (ALD) process. The Pt-Ru dimers show much higher hydrogen evolution activity (more than 50 times) and excellent stability compared to commercial Pt/C catalysts. X-ray absorption spectroscopy indicates that the Pt-Ru dimers structure model contains one Pt-Ru bonding configuration. First principle calculations reveal that the Pt-Ru dimer generates a synergy effect by modulating the electronic structure, which results in the enhanced hydrogen evolution activity. This work paves the way for the rational design of bimetallic dimers with good activity and stability, which have a great potential to be applied in various catalytic reactions. 


Schematic illustration of ALD synthesis of Pt–Ru dimers on nitrogen-doped carbon nanotubes (NCNTs). Firstly, the Pt single atoms were deposited by using MeCpPtMe3 as the precursor. Then the Pt–Ru dimers were prepared by selective deposition of Ru atoms on Pt single atoms. Gray: C, Blue: N, yellow: Pt, red: Ru

ASM International settles with Kokusai for Batch ALD patent licenses (US$61 million)

October 29, 2019, 12.15 p.m. CET (LINK) ASM International N.V. (Euronext Amsterdam: ASM) today announces that it has entered into a settlement agreement with Kokusai Electric Corporation (formerly known as Hitachi Kokusai Electric Inc. and hereinafter referred to as “KEC”) to resolve the arbitration proceeding relating to the license agreement which expired in November 2017. As part of this settlement, KEC will pay ASM an amount of US$61 million. With this settlement all pending disputes between ASM and KEC with respect to patent licenses have been resolved.

As announced on February 23, 2018, ASM initiated an arbitration proceeding on August 30, 2017 with the American Arbitration Association against KEC for breach of the license agreement between the companies. This license agreement provided KEC and its affiliates a license under certain patents of ASM in the field of Batch ALD. The companies have now entered into a settlement agreement concerning all the matters of the arbitration.

This arbitration settlement is separate from the settlement of all patent lawsuits and invalidation proceedings between ASM and KEC that was announced on July 1, 2019.

The settlement of the arbitration will positively impact ASMI’s sales and bookings in Q4 2019 with an amount of US$61 million, or approximately €56 million.
 
Background:

- Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD (LINK)

- ASM International settles (US$115 million) with Kokusai Electric Corporation on the use and infringement of ALD patents  (LINK)
 
 

Friday, October 18, 2019

LG Technology Ventures & Mitsui Kinzoku-SBI Material Innovation Fund Join Forge Nano Inc. Series A

LOUISVILLE, Colo., Sept. 5, 2019 (LINK) — Mitsui Kinzoku-SBI Material Innovation Fund and LG Technology Ventures join in Forge Nano’s Series A, bringing the total investment to date to $18M, up from Volkswagen’s initial $10Minvestment announced in January 2019.

The investment will help to accelerate advanced materials for new battery technologies while also broadening applications for atomic-level nano-coatings into a diverse set of new markets. Forge Nano’s technology paves the way for entirely new applications for nanoscale surface engineering. Atomic layer deposition (ALD) is an ultra-thin film deposition process that allows precision coatings that are the thickness of one atom to be deposited one layer at a time onto a surface. Forge Nano’s ALD enabled core-shell battery materials have been demonstrated to improve the energy density, charge rate, cycle life, and safety of lithium-ion batteries as well as to enable next generation battery technologies.

Beyond batteries, ALD nano-coatings are enabling the next era of higher performance materials for catalysts, 3D printing, thermal fillers, separations and an array of other new market applications. Virtually any application using industrial powders that benefit from tuned surface properties but require precise, uniform and conformal coatings that are chemically bonded to the surface can now use ALD nano-coatings to unlock the next level of performance and value.“Forge’s proprietary nano-coating technology and high-throughput manufacturing processes will open the door for a new stage of high performance materials. A collaboration between Forge Nano and Mitsui Kinzoku will accelerate the production of high performance materials and provide our customershigh value products in various market.”

Cornell NanoScale Facility (CNF) and Plasma-Therm Collaborate on Atomic Layer Etching (ALE)

ST. PETERSBURG, Fla. October 16, 2019 (LINK) — The Cornell NanoScale Science and Technology Facility (CNF), a leading university research facility at Cornell University, Ithaca, NY and Plasma-Therm LLC, an innovator in plasma processing technology, located in St. Petersburg, FL, announce a joint development agreement (JDA) to advance atomic layer etching (ALE) for nanoscale device fabrication. Under this agreement, Plasma-Therm will provide a state-of-the-art ALE system, while CNF will provide ALE process and device development on a wide range of materials serving a broad research community.


The Cornell NanoScale Science and Technology Facility (CNF) is a scientific user facility and cleanroom which is located at Cornell University in Ithaca, New York. The CNF is one of the sixteen members of the National Nanotechnology Coordinated Infrastructure (NNCI) (Wikipedia).

ALE is derived from its deposition counterpart atomic layer deposition (ALD) in that it is composed of sequential self-limiting chemical steps, essentially etching one atomic layer per cycle. This process thus provides the precise control and low damage etching required for the fabrication of advanced nanostructure devices.

Vince Genova, a research staff member leading the ALE effort at Cornell, states “Our acquisition of ALE and our collaboration with Plasma-Therm will ensure that CNF can meet the many challenges posed by the increasingly complex fabrication requirements of nanoscale photonics, advanced III-V devices, 2D electronics, magnetic, and quantum-based device applications.”

CNF operates as an open user facility for nanofabrication, open to academic, industrial, and government users, and is part of the National Nanotechnology Coordinated Infrastructure (NNCI) an NSF-sponsored network of 16 regional user facilities. CNF will be the first site within NNCI to acquire ALE, adding a tremendous asset to the fabrication capabilities of NNCI.

The CNF has had a long-term relationship with Plasma-Therm since the early 1980s and presently has 6 etch platforms including 4 inductively coupled plasma (ICP) chambers serving its extensive user community. CNF values Plasma-Therm’s sustained commitment to service and technical expertise in plasma processing solutions and looks forward to implementing the most advanced etching technique in the semiconductor industry to push the boundaries of nanofabrication.

Dwarakanath Geerpuram, Plasma-Therm’s Director of Product Development Engineering said “The joint development program with Cornell is another example of Plasma-Therm’s focus on partnering with our customers in developing next generation technologies. We are proud of our association with the CNF and look forward to enabling the growth and adoption of ALE technology.”

Thursday, October 17, 2019

The Nobel Prize in Chemistry 2019 - A highly competitive breeding ground for new IP

The motivation for this year's Nobel Prize in chemistry reads "for the development of lithium-ion batteries". John B. Goodenough (The University of Texas at Austin, USA), M. Stanley Whittingham (Binghamton University, State University of New York, USA) and Akira Yoshino (Asahi Kasei Corporation, Tokyo, Japan and Meijo University, Nagoya, Japan) receive the award as well as SEK 3 million each.

The basis for the lithium-ion battery was laid during the oil crisis in the 1970s. Lithium-ion batteries are light, rechargeable, and powerful batteries that are used in everything from mobile phones to laptops and electric vehicles (EVs). The lithium battery cells can also be deployed in systems to store vast amounts of energy produced by solar and wind power, which enables a fossil-free society.
The battery technology that has conquered the world

Since the first lithium-ion batteries came on the market in 1991, they have entirely changed the existence throughout the world. They have laid the foundation for a wireless and fossil-free society, and are today of enormous benefit to humanity. If we look at the lithium-ion battery from a technical and innovative perspective and link the technology area to patents, we see an explosive increase in patent applications between the years 2008-2017, a period when battery technology has penetrated the world market. Some of the large companies that have been inspired by the Nobel Prize winners and their technical achievements are: Tesla (USA), Toyota (Japan), Volkswagen (Germany), BASF (Germany), Umicore (Belgium), CATL (China) and Northvolt (Sweden), which is currently establishing itself in northern Sweden. These are companies active across the complete value chain, from raw materials suppliers, battery cell production to end-user consumer applications.

A minefield for new patent applications

The companies and innovators who are now entering the lithium-ion technology business and are considering applying for patents, no matter what application area they intend to work in, are entering a veritable minefield. It is an extremely challenging area for new patent applications. The situation places high demands on qualified analysis of both the main technology area, adjoining technology areas, market situations, the actions of existing players and new players' strategies. Here, adequate patent information in the hands of an expert in patent information can straighten out many question marks, not least because the patent data consists of both technical, legal, and business-related information.

To see what the others do not see

When I, as an expert in seeking, analyzing, and drawing conclusions from patent information, launches, both the historical, the current, and the future perspectives, are at stake. Drawing conclusions from historical patent data and translating it into future potential is an unusual ability that not many commands.

The amount of patent data, the variety of data, and the speed at which new patent data is published are continuously increasing. Properly handled and, above all, adequately analyzed, patent data can increase insights, support business decisions, and create new values and stronger negotiating positions for your company. I think it is a waste not to use the power of patent data more than is done today.

Contact me so we take a closer look at a technology area that is important to your company's future.

Ervin Dubaric


Guest Blog by Dr. Ervin Dubaric, Patent Information Specialist at Bergenstråhle & Partners, Stockholm, Sweden

Intel Oregon is looking for young CVD, ALD and PVD experts

[Intel, Hillsboro Oregon, USA] We are hiring Ph.D. candidates or recently received a Ph.D. degree in the metals thin-film area. We are giving priorities to the candidates who have exceptional backgrounds in physical vapor deposition (PVD), chemical vapor deposition (CVD), atomic layer deposition (ALD), and/or Electrodeposition fields. Strong plasma physics and vacuum science knowledge will be needed for PVD, CVD, and ALD deposition area candidates. 
 
 
 Intels Fab D1X in Oregon USA (Intel.com)
 
We are also looking for candidates with synthetic chemistry backgrounds for CVD/MOCVD (metal-organic chemical vapor deposition) precursor development. In the Electrodeposition area, we are looking for candidates with a strong background in electrochemistry, plating related thin film deposition.

Generic Job description can be found here - https://jobs.intel.com/ListJobs/ByKeyword/JR0099326/

Please send me your resume directly to shaestagir.chowdhury@intel.com
 
------------
Guest Blog by Dr. Shaestagir Chowdhury, Principal Engineer at Intel Corporation, Hillsboro, Oregon
 

Wednesday, October 16, 2019

What can Atomic Layer Deposition do for solar cells

Here is an excellent article by Pro. Kessels and Dr Bart from TU Eindhoven on the current status of Atomic Layer Deposition in the solar industry (Atomiclimits.com LINK).

ALD PV applications:

  • ALD for passivation layers and passivating contacts
  • ALD for transparent conductive oxides (TCOs)
  • ALD in the upcoming field of perovskites and tandem cells

Potential new applications for ALD in PV:


  • ALD Al2O3 for hydrogenation of poly-Si passivating contacts
  • ALD for hybrid metal halide perovskite and Si-perovskite tandems


 


Tuesday, October 15, 2019

Lund University Holding invests in newly started AlixLabs

LU Holding invests in newly started AlixLabs, which have developed a method to manufacture electronic circuits for the semiconductor industry in a very cost-effective way.

[Published on September 27, 2019: Original in Swedish: LINK]

Researchers from NanoLund have developed and patented the method and all three, Jonas Sundqvist, Dmitry Suyatin, and Sabbir Kahn, are part of the newly started company (AlixLabs AB), and Co-founder Stefan Svedberg joins as CEO. Svedberg was previously Director of Corporate Development at Ericsson.

Displaying the Edge Effect: This is a new method of nanostructure fabrication using the atomic layer etching process, which is inherently a damage-free etch process. The recently discovered etching process selectivity to inclined surfaces, can be used as a mask and in this way walls of tapered structures. The inclined surfaces can be readily fabricated by e.g. dry etching or epitaxial growth, and will provide masking during the atomic layer etching process. This process therefore provides access to fabrication of extremely small structures in a very precise and efficient way.

Electronic circuits are needed in all types of hardware, but the cost of producing them has increased as the electronics become smaller. With the AlixLab method, which is based on a recently identified physical phenomenon, the manufacturing process of the electronic circuits becomes both faster and significantly cheaper.

Dr. Dmitry Suyatin, Co-founder and CTO and Dr. Jonas Sundqvist, Co-founder and Senior Technical Adviser at AlixLabs inspecting the new Atomic Layer Etching Equipment at Lund Nano Lab from PlasmaTherm.
 
"AlixLabs has an exciting technology, and now we have a good team in place," says Erik Larsson, portfolio manager at LU Holding.

Alixlabs plans to implement an expanded proof of concept in 2020 as the basis for continued customer discussions.
AlixLabs Team : LINK

Short cources in ALD and ALE Jaunuary 14-15 in Eindhoven (NL)

On January 14-15 the ALD Academy will organize some courses on ALD and ALE (=Atomic Layer Etching) in Eindhoven, The Netherlands. You can register per individual course. See the website for more details.

LINK: https://www.aldacademy.com/ald-and-ale-courses/

High device performance of unique ALD-IGZO TFTs to look forward to expanding application area to semiconductor

Amorphous In-Ga-Zn-O (IGZO) materials have been mainly employed as channel materials for the backplane TFTs of flat panel displays (FPDs) owing to their superior characteristics of excellent uniformity, high on/off current ratio, and superior carrier mobility to other amorphous oxide semiconductors. Recently, IGZO thin films have been actively researched for high-end future electronic applications such as TFTs of DRAM and NAND which are typical semiconductor memory devices as well as transparent flexible displays, sensors and logic architectures.

Especially, considering indispensable three dimension architectures of the memory devices for high functional AI semiconductors, the interest in application of IGZO TFTs has been rapidly increased because they are satisfied with the requirement of low power consumption and low operation temperature.

The atomic layer deposition (ALD) method is resulting in better film quality even at a lower deposition temperature. Furthermore, the film thickness and composition can always be precisely controlled at the atomic scale with excellent conformality and higher film density. However, ALD process for IGZO as multi-component materials has the difficulty of control between metal precursors and oxidants.


Variations in transfer curves with the lapse of stress time for 104 s under PB(T)S conditions for Dev. (a) A(1:1:1) and B(1:1:3) at RT and for Dev. (c) A and (d) B at 60℃. (VGS= +20V, VDS= 10.5 V)*



The targeted atomic compositions (In:Ga:Zn) of ALD-IGZO films were acquired by controlling the ALD cycle ratios using the unique ALD method to clear this issue. The device employing (1:1:3) composition exhibited the most desirable characteristics from the viewpoint of excellent bias stability, and they were found to be superior to those by the conventional sputtered-deposited IGZO TFTs.

Therefore, this method to control the compositions of IGZO could be a core technology to guarantee high performance and robust stability for various future ALD-IGZO thin film applications.


Si wafer based batch ALD cluster system

NCD has been developing high throughput batch ALD-IGZO system using the unique technology capable of controlling the designed atomic compositions of IGZO for etch applications. This system could provide the excellent quality competiveness and functional stability as well as high throughput in production of 3D complex architectures such as future Logic, DRAM and NAND devices expected to apply ALD-IGZO thin films.

*Journal of Materials Chemistry C, 2019, 7, 6059, Cationic compositional effects on the bias-stress stabilities of thin film transistors using In-Ga-Zn-O channels prepared by atomic layer deposition, Seung-Bo Ko, Nak-Jin Seong, Kyujeong Choi, So-Jung Yoon, Se-Na Choi, and Sung-Min Yoon. DOI: 10.1039/c9tc01164a

Link : http://www.ncdtech.co.kr/2018/bbs/board.php?bo_table=eng_board_05&wr_id=45

Friday, October 4, 2019

Global Market Remains Strong for ALD & CVD Precursors in IC Fabs

San Diego, CA, October 2, 2019: TECHCET—the advisory services firm providing electronic materials information— announced that the global market for atomic layerdeposition (ALD) and chemical vapor deposition (CVD) precursors is showing strong
growth despite semiconductor fabrication market challenges in 2019. CVD growth is mainly in plasma-enhanced CVD (PECVD) and metal-organic CVD (MOCVD) for silicon ICs and for newer devices including micro-displays, RF for 5G, and photonics. The
combined ALD and CVD metal precursor market is estimated to be approximately US$582M in 2019 growing 6.3% from the prior year and forecasted to grow above US$930M by 2025, as detailed in the latest Critical Materials Report™ (CMR) on ALD /
High-K Metal Precursors (see Figure).

Advanced Metal ALD/CVD Precursor Revenues Forecast
“Today, the top three suppliers ADEKA, Air Liquide, and Versum dominate the market by controlling ~75% of the segments,” explained Dr. Jonas Sundqvist, TECHCET senior technology analyst and author of the report. “However, due to the recent development that Merck will acquire Versum, there is a good chance that by doing so it will become the number one supplier for all type of metal, High-κ, and dielectric precursors.” The CVD, ALD, and SOD market includes from both specialty gases (e.g. WF6) and liquid precursors, as well as a considerable segment of solid precursors (e.g. HfCl4, PDMAT). In addition, there are smaller segments for precursors that still do not reach annual sales of >US$5 million such as ruthenium and rare earth elements (REE).
This report covers the following suppliers: ADEKA, Air Liquide, Air Products, AZmax Co., BASF, DNF Co., Entegris, Epivalence, FujiFilm, Gelest, Hansol Chemical, H.C.Starck, Kojundo, Linde (Praxair), Mecaro, Merck EMD, Nanmat, Norquay, Pegasus
Chemicals, Soulbrain, Strem, Tanaka Kikinzoku Group, Tokyo Chemical Industry Co.,Tri Chemical Laboratories, Umicore, UP Chemical (Yoke), and Versum.

Purchase ALD/CVD Reports Here: TECHCET Reports