Monday, February 15, 2016

Resilient, customized, and high-performing graphene on top of common glass

Brookhaven National Laboratory reports: Graphene, the two-dimensional powerhouse, packs extreme durability, electrical conductivity, and transparency into a one-atom-thick sheet of carbon. Despite being heralded as a breakthrough "wonder material," graphene has been slow to leap into commercial and industrial products and processes.

Now, scientists have developed a simple and powerful method for creating resilient, customized, and high-performing graphene: layering it on top of common glass. This scalable and inexpensive process helps pave the way for a new class of microelectronic and optoelectronic devices—everything from efficient solar cells to touch screens.


 
Left: Schematic of a graphene field-effect-transistor used in this study. The device consists of a solar cell containing graphene stacked on top of a high-performance copper indium gallium diselenide (CIGS) semiconductor, which in turn is stacked on an industrial substrate (either soda-lime glass, SLG, or sodium-free borosilicate glass, BSG). The research revealed that the SLG substrate serves as a source of sodium doping, and improved device performance in a way not seen in the sodium-free substrate. Right: A scanning electron micrograph of the device as seen from above, with the white scale bar measuring 10 microns, and a transmission electron micrograph inset of the CIGS/graphene interface where the white scale bar measures 100 nanometers. (from Brookhaven National Laboratory report)
 
The collaboration—led by scientists at the U.S. Department of Energy's (DOE) Brookhaven National Laboratory, Stony Brook University (SBU), and the Colleges of Nanoscale Science and Engineering at SUNY Polytechnic Institute—published their results February 12, 2016, in the journal Scientific Reports.[Free, Open Access]
 
For sure you already spotted teh Al2O3 dielectric on the picture and yes it is deposietd by ALD, as reported in the paper: "Next, a 200 nm top gate-dielectric layer (Al2O3) is blanket deposited on GR/CIGS/Mo/SLG(BSG) or GR/SLG(BSG) substrates via Atomic Layer Deposition at 1 Ǻ/cycle using (Tri Methyl Aluminum) TMA/Water precursor at 250 °C." The detailed process integration can be foudn in the Supporting information (insered below)


 (This work is licensed under a Creative Commons Attribution 4.0 International License)

Sunday, February 14, 2016

What is limiting low-temperature atomic layer deposition of Al2O3?

Low temperature ALD has a number of application for applications that can not cope with high temperature like flexible electronics and display technologies where Al2O3 is typically used as a barrier material against moisture or as in insulating dielectric. Here is an interesting study from Vincent Vandalon and Erwin Kessels at TU Eindhoven aiming at revealing what is limiting the growth at low temperatures.
 
 

They have investigated the surface chemistry of ALD Al2O3 using a technique called broadband sum-frequency generation (BB-SFG). BB-SFG is interface selective with a sub-monolayer sensitivity for –CH3 groups and with fairly short acquisition times.

Advantages with BB-SFG:
  • the measured signals are directly correlated to the absolute surface density of the specie.
  • the simultaneous detection of species which are changing after an ALD halfcycle and species which are persistent over the ALD halfcycles.
 
Broadband sum-frequency generation (BB-SFG) on surfaces: (a) schematic illustration of the technique applied to an amorphous Al2O3 surface; (b) schematic showing that a wide spectral coverage in the IR can be obtained within one laser shot and with femtosecond time-resolution. (Picture from Prof. Kessels Plasma and Materials Processing research group page, more information can be found here)


What is limiting low-temperature atomic layer deposition of Al2O3? A vibrational sum-frequency generation study

V. Vandalon and W. M. M. Kessels
Appl. Phys. Lett. 108, 011607 (2016); http://dx.doi.org/10.1063/1.4939654

The surface reactions during atomic layer deposition(ALD) of AlO from Al(CH) and HO have been studied with broadband sum-frequency generation to reveal what is limiting the growth at low temperatures. The –CH surface coverage was measured for temperatures between 100 and 300 °C and the absolute reaction cross sections, describing the reaction kinetics, were determined for both half-cycles. It was found that –CH groups persisted on the surface after saturation of the HO half-cycle. From a direct correlation with the growth per cycle, it was established that the reduced reactivity of HO towards –CH is the dominant factor limiting the ALD process at low temperatures.

Surface-enhanced Raman spectroscopy (SERS) for studying ALD growth used for the first time

Here is a recent paper from Prof. Stairs and Prof. Van Duyne research groups at North Western University on using surface-enhanced Raman spectroscopy (SERS) for studying ALD growth for the first time. Thanks Vincent Vandalon for sending me this information!
  • SERS overcomes the sensitivity limitations of normal Raman scattering because of excitation of localized surface plasmon resonances (LSPRs) that result in enhanced electromagnetic fields around noble metal nanostructures such as Ag, Au, and Cu.
  • The high sensitivity and distance dependence of SERS make it possible to evaluate the location of ALD deposits with respect to the enhancing substrate.



The ALD system at Van Duyne Research Group at North Western University. The ALD reactor can monitor ALD surface reactions in-situ using SERS and quartz crystal microbalance. The reactor will be connected to a GC for in-situ catalytic studies. The GC is equipped with a Thermal Conductivity and Flame Ionization detector so both permanent gases and hydrocarbons can be detected. (Picture and information form Van Duyne Research Group page)

Prof. Richard P. Van Duyne is the discoverer of Surface-enhanced Raman Spectroscopy (1977), the inventor of Nanosphere Lithography (1995) and Localized Surface Plasmon Resonance Spectroscopy (2000). More information can be found here.

Prof. Richard P. Van Duyne

Probing the Chemistry of Alumina Atomic Layer Deposition Using Operando Surface-Enhanced Raman Spectroscopy

Sicelo Simon Masango, Ryan A. Hackler, Anne-Isabelle Henry, Michael O. McAnally, George C. Schatz, Peter C. Stair, and Richard P. Van Duyne
J. Phys. Chem. C, Just Accepted Manuscript
DOI: 10.1021/acs.jpcc.5b11487
Publication Date (Web): January 28, 2016

This work demonstrates for the first time the capability of measuring surface vibrational spectra for adsorbates during atomic layer deposition (ALD) reactions using operando surface-enhanced Raman spectroscopy (SERS). We use SERS to study alumina ALD growth at 55 °C on bare silver film-over nanosphere (AgFON) substrates as well as AgFONs functionalized with thiol self-assembled monolayers (SAMs). On bare AgFONs, we observe the growth of Al-C stretches, symmetric C-H and asymmetric C-H stretches during the trimethylaluminum (TMA) dose half-cycle and their subsequent decay after dosing H2O. Al-C and C-H vibrational modes decay in intensity with time even without H2O exposure providing evidence that residual H2O in the ALD chamber reacts with –CH3 groups on AgFONs. The observed Al-C stretches are attributed to TMA dimeric species on the AgFON surface in agreement with density functional theory (DFT) studies. We observe Al-C stretches and no thiol vibrational frequency shifts after dosing TMA on AgFONs functionalized with toluenethiol and benzenethiol SAMs. Conversely, we observe thiol vibrational frequency shifts and no Al-C stretches for AgFONs functionalized with 4-mercaptobenzoic acid and 4-mercaptophenol SAMs. Lack of observed Al-C stretches for COOH- and OH-terminated SAMs is explained by the spacing of Al-(CH3)x groups from the SERS substrate. TMA penetrates through SAMs and reacts directly with Ag for benzenethiol and toluenethiol SAMs and selectively reacts with the –COOH and –OH groups for 4-mercaptobenzoic acid and 4-mercaptophenol SAMs, respectively. The high sensitivity and chemical specificity of SERS provides valuable information about the location of ALD deposits with respect to the enhancing substrate. This information can be used to evaluate the efficacy of SAMs in blocking or allowing ALD deposition on metal surfaces. The ability to probe ALD reactions using SERS under realistic reaction conditions will lead to a better understanding of the mechanisms of ALD reactions.

Saturday, February 13, 2016

The TEMAZr - O2 ALD Process for high surface area ZrO2 applications in a von Ardenne ALD reactor

A brilliant publication from Forschungszentrum Jülich and RWTH Aachen. Since I happen to have a PhD in using molecular oxygen for ALD of metal oxides - one of the few - I must say that this is the best paper the last 10 years or so. Alright, I know, only in the combination with metal iodides (one day they will come and dominate ...)

Jokes aside, if you want to get in there in porous material with large surface area and not have endless purge times to get the water out of there O2 is a very good choice - why didn´t I write that in my thesis? This must be the way also for particle ALD coatings.

Sternwarte Manfred v. Ardenne in Loschwitz, Plattleite (This file is made available under the Creative Commons CC0 1.0 Universal Public Domain Dedication)


As a side information, they used a Von Ardenne LS400C ALD-MOCVD reactor and you can find more information here about that one. Since this post anyway got sort of personal I can admit that Von Ardenne is my next door neighbor and that they have one of the coolest locations (photo above) you can think of. If you come to Dresden let me know and I will show you.


Von Ardenne LS400C ALD-MOCVD reactor

Atomic-layer-controlled deposition of TEMAZ/O2–ZrO2 oxidation resistance inner surface coatings for solid oxide fuel cells

Thomas Keuter, Georg Mauer, Frank Vondahlen, Riza Iskandar, Norbert H. Menzler, Robert Vaßen

Surface and Coatings Technology, Volume 288, 25 February 2016, Pages 211–220
doi:10.1016/j.surfcoat.2016.01.026

Solid oxide fuel cells (SOFCs) directly convert the chemical energy of fuels into electrical energy with high efficiency. Under certain conditions oxygen can diffuse to the Ni/8 mol% Y2O3-doped ZrO2 substrate of anode-supported SOFCs, then the nickel re-oxidizes, leading to cracks in the electrolyte and cell failure thus limiting the durability of SOFCs. In order to improve the stability of SOFCs with respect to oxidation, the inner surface of the porous substrate is coated with a ZrO2 oxidation resistance layer using atomic layer deposition (ALD) with the precursors tetrakis(ethylmethylamino)zirconium (TEMAZ) and molecular oxygen. This TEMAZ/O2–ZrO2 ALD process has not yet been reported in the literature and hence, the development of the process is described in this paper. The inner surface of the porous substrate is coated with ZrO2 and the film thickness is compared with theoretical predictions, verifying the ALD model. Furthermore, the coating depth can be estimated using a simple analytical equation. The ALD ZrO2 film protects the nickel in the substrate against oxidation for at least 17 re-oxidation/re-reduction cycles. The ZrO2 inner surface coating is a highly promising candidate for enhancing the resistance of SOFCs to re-oxidation because of the excellent oxidation resistance and good cycling stability of the film.

ALD HfO2 HKMG FETs on CVD mono layer graphene channels on 200 mm glass wafers by Samsung

Samsung Advanced Institute of Technology and Samsung-SKKU Graphene/2D Center showcases ALD HfO2 high-k transistors on mono layer graphene channels using 200 mm glass wafers. The ALD Process is TEMAHf/H2O running at 200 C and they achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors.
 
Check out the free to down load Nature Scientific Report below.

 
SAIT (Samsung Advanced Institute of Technology)

Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors. 

Seong-Jun Jeong, Yeahyun Gu, Jinseong Heo, Jaehyun Yang, Chang-Seok Lee, Min-Hyun Lee, Yunseong Lee, Hyoungsub Kim, Seongjun Park & Sungwoo Hwang
Sci. Rep. 6, 20907; doi: 10.1038/srep20907 (2016).



(a) Optical image of the MOG-FET arrays fabricated on a 6″ Si wafer and a schematic illustration showing the structure of the MOG-FET device. (b) Optical microscope image of a fabricated MOG-FET unit device. (c) Cross sectional TEM image showing the HfO2 gate dielectric layer with a thickness of ~5 nm (including the seed layer converted to a HfO2 layer) on monolayered graphene. (d) Statistical distribution of the sheet resistance of a monolayered graphene before and after the ALD of HfO2 with and without an e-beam-evaporated Hf seed layer. Representative electrical characteristics measured from the fabricated MOG-FET devices: (e) gate dielectric leakage current, (f) gate capacitance as a function of the frequency, and (g) transfer curve (ID-VG). (Sci. Rep. 6, 20907; doi: 10.1038/srep20907 (2016)., Creative Commons Attribution 4.0 International License)

The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer.

UPDATE: HERALD "ALD Precursors and processes" Workshop May 23-24 in Helsinki, Finland

Finnish Centre of Excellence in ALD (ALDCoE) welcomes you to a combined HERALD Working group 2 workshop and 4th annual seminar of ALDCoE to be held May 23-24, 2016 in Helsinki, Finland. The topics of the two-day event will include precursor and process development, including in situ studies, for ALD. Invited talks and poster contributions from both industry and academia will give a broad overview on the latest advancements on the topic.

Woorkshop on ALD Precursors and processes 

For more details and registration, go to: http://www.aldcoe.fi/herald/index.html Registration is free of charge (number of attendees is limited) and now open at the event website. Check out also the available sponsorship opportunities. 
Workshop chair: Markku Leskelä

Confirmed Invited Speakers:

Prof. Jaan Aarik, University of Tartu
Prof. Christophe Detavernier, Ghent University
Prof. Erwin Kessels, Eindhoven University of Technology
Prof. Marc Heyns, IMEC
Prof. Anjana Devi, Ruhr University Bochum
Prof. Shi Woo Rhee, POSTECH
Dr. Simon Rushworth, EpiValence
Dr. Paul Williams, Pegasus Chemicals
Dr. Dennis Hausmann, Lam Research
Dr. Tiina Sarnet, Picosun
Dr. Andy Zauner, Air Liquide

Program: http://www.aldcoe.fi/herald/program.html


ASM International 4Q/2015 Conference Call and Webcast February 24th

February 24th will be a busy day for ALD - the Versum Materials call and then the ASMi 4Q/2015 Conference Call and Webcast. If anything interesting with respect to ALD comes up I will let you all know here on the blog.



ASM INTERNATIONAL N.V. ANNOUNCES AVAILABILITY AND TIMING OF THE FOURTH QUARTER 2015 CONFERENCE CALL AND WEBCAST

As announced by ASMi: February 10, 2016 ASM International N.V. (Euronext Amsterdam: ASM) will report operating results for the 2015 fourth quarter ended December 31, 2015 at approximately:

  • 00:00 (midnight) Continental European Time - Tuesday/Wednesday, February 24, 2016
  • 6:00 p.m. US Eastern Time - Tuesday, February 23, 2016.
ASM International will host an investor conference call and web cast on Wednesday, February 24, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).

The teleconference dial-in numbers are as follows:
  • United States:          +1 212 444 0481
  • International:            +44 (0)20 3427 1916
  • The Netherlands:     +31 (0)20 713 2790
  • Access Code:          2811744
A simultaneous audio webcast and replay will be accessible at www.asm.com.

Air Products Spin-off Company Versum Materials to host Investor Teleconference on February 24

Air Products Materials Technologies, the business that is planned to spin off as Versum Materials, will hold an introductory call for investors and analysts on Wednesday, 24 February, 2016 at 10:00 a.m. ET. The purpose of the call will be to share with investors and analysts specific details on Versum Materials' business, products, customers, and previously disclosed financials. 
 
As reported in a press release here

The new logo for Versum Materials - Nice One! (as released 23 of December 2015)

"The Versum Materials logo was designed to represent the action of moving forward, energy and forward-thinking ideas to take flight," Guillermo Novo, who will become Versum Materials' CEO, said in a news release.
 
Leading the teleconference will be Versum Materials' leadership team members Guillermo Novo, previously announced to become CEO of Versum Materials, and George Bitto, who is to serve as chief financial officer and information technology director.

The teleconference also will be open to the public and the media in listen-only mode by telephone and internet broadcast. There will be a Q&A period for investors and analysts at the end of the call.

As a follow-up to this teleconference, additional information will become available on Versum Materials as we move closer to the spin-off date, which we expect to occur prior to September 2016.

Live teleconference:  913-312-0839
Passcode: 3830469
Internet broadcast/slides: Available on the Event Details page on Air Products' Investor Relations website.
Telephone replay: 1-888-203-1112 (domestic) or +1-719-457-0820 (international)
Passcode: 3830469
Available from 2 p.m. ET on February 24 through 2 p.m. ET on March 2, 2016.
Internet replay: Available on the Event Details page on Air Products' Investor Relations website.

A Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Here is more ALD MOF work just published in Chemistry of Materials. Or rather AIM-ME = atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) as a technique to install dispersed metal atoms into the mesoporous MOF. The ALD depositions were performed in a Savanah S100 system from Ultratech Cambridge Nanotech using a home build stainless steal powder reactor insert. Abstract is given blow.

Synthetic Access to Atomically Dispersed Metals in Metal–Organic Frameworks via a Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Rachel C. Klet, Timothy C. Wang, Laura E. Fernandez, Donald G. Truhlar, Joseph T. Hupp, and Omar K. Farha
Chem. Mater., Article ASAP, DOI: 10.1021/acs.chemmater.5b04887

ALD-in-MOF Metal-Exchange (Figure above from graphical abstract)
 
The combination (AIM-ME) of atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) is introduced as a technique to install dispersed metal atoms into the mesoporous MOF, NU-1000. Zn-AIM, which contains four Zn atoms per Zr6 node, has been synthesized through AIM and further characterized through density functional calculations to provide insight into the possible structure. Zn-AIM was then subjected to modification via transmetalation to yield uniform porous materials that present nonstructural Cu, Co, or Ni atoms.

TSMC Belgium & Sweden demonstrate first un-strained InAs FinFETs

Researchers led by TSMC R&D Europe B.V. in Leuven Belgium (Imec) and Lund Sweden (Lund Nano Lab), claim the first demonstration of an unstrained indium arsenide (InAs) fin field-effect transistor (finFET) with 20nm fin height (Hfin) [R. Oxland et al, IEEE Electron Device Letters, published online 29 January 2016]. The team also included researchers from University of Glasgow in the UK, Texas State University in the USA, and TSMC in Taiwan.

The gate insulation consisted of 5nm ZrO2 deposited by ALD, yielding an 1.2 nm equivalent oxide thickness (EOT). 

 
(a) Layer structure used, showing the pseudomorphic InAlAs etch-stop layer and (b) process flow for fabrication of InAs finFETs, starting with first step after wafer growth. (Figure from Semiconductor Today)

Full story here by Mike Cooke in Semiconductor Today and the IEEE Electron Devices abstract below.

InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process

Oxland, R. Li, X. ; Chang, S. ; Wang, S. ; Vasen, T. ; Ramvall, P. ; Contreras-Guerrero, R. ; Rojas-Ramirez, J. ; Holland, M. ; Doornbos, G. ; Chang, Y. ; Macintyre, D. ; Thoms, S. ; Droopad, R. ; Yeo, Y. ; Diaz, C. ; Thayne, I. ; Passlack, M.

 IEEE Electron Device Letters, published online 29 January 2016

We report the first demonstration of InAs FinFETs with fin width Wfin in the range 25–35 nm, formed by inductively coupled plasma etching. The channel comprises defect-free, lattice-matched InAs with fin height Hfin = 20 nm controlled by the use of an etch stop layer incorporated into the device heterostructure. For a gate length Lg = 1 nm, peak transconductance gm,peak = 1430 µS/µm is measured at Vd = 0.5 V demonstrating that electron transport in InAs fins can match planar devices.

Thursday, February 11, 2016

Oregon State present ALD of 2D alternate channel material MoS2 on 6 inch wafers

Graphene has a big problem - it lacks a bandgap which is needed for many electronic devices and this has led searching of alernate 2D materials. Most focus today is on transition metal dichalcogenides (TMDs). One of the most promising TMDs is molybdenum disulfide (MoS) with a bandgap (∼1.2 eV) for bulk MoS and a direct bandgap (∼1.8 eV) in the mono layer form monolayer.

Some weeks ago it was reported that ALD sales booming for Arradiance GEMStar XT line. Here is an Open Source paper in JVSTA on depositing 2D MoS2 by alternate pulsing of MoCl5 and H2S on 6 inch wafers using an Arradiance GEMStar ALD reactor by School of EECS, Oregon State University and Sharp Lab of America.
 

Installed Fall 2010: Arradiance Gemstar (see press release); 150mm ALD reactor with 3D substrate capability, in-situ quartz crystal microbalance, and 5 precursor source lines (1 gas; 2 vapour draw for liquids; and 2 low vapor pressure sources, heated up to 120C, one with N2 boost)

Here you can find more details on the research and facilities of Prof. John F. Conley`s Novel Materials and Devices Group at Oregon State: http://web.engr.oregonstate.edu/~jconley/facilities.html. In Addition to the Arradiance GEMStar they are operating a Picosun SUNALE R-200 200mm Plasma Enhanced ALD system.

Atomic layer deposition of two dimensional MoS on 150 mm substrates

Arturo Valdivia, Douglas J. Tweet and John F. Conley Jr.
J. Vac. Sci. Technol. A 34, 021515 (2016); http://dx.doi.org/10.1116/1.4941245

Low temperature atomic layer deposition(ALD) of monolayer to few layer MoS uniformly across 150 mm diameter SiO/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl and HS precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E1) and out-of-plane (A) modes of MoS. The separation of the E1 and A peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS thin films.

Wednesday, February 10, 2016

SUNY Poly in $500M EUV R&D Program with Globalfoundries, IBM and Tokyo Electron

SUNY Poly and GLOBALFOUNDRIES Announce New $500M R&D Program in Albany To Accelerate Next Generation Chip Technology. Arrival of Second Cutting Edge EUV Lithography Tool Launches New Patterning Center That Will Generate Over 100 New High Tech Jobs at SUNY Poly 


“Today’s announcement is a direct result of Governor Cuomo’s innovation driven economic development model. His strategic investments supporting the state’s world class nanotechnology infrastructure and workforce have made us uniquely suited to host the new APPC, which will enable the continuation of Moore’s Law and unlock new capabilities and opportunities for the entire semiconductor industry,” said Dr. Alain Kaloyeros, President and CEO of SUNY Polytechnic Institute. “In partnership with GLOBALFOUNDRIES, IBM and Tokyo Electron, we will leverage our combined expertise and technological capabilities to meet the critical needs of the industry and advance the introduction of this complex technology.” 

Press release from Globalfoundries: here


UPDATE - Speakers for ALD2016, 24-27 July Dublin, Ireland


We are delighted to announce the first speakers and tutorials for ALD 2016:

  • Ray Adomaitis, University of Maryland
  • Sumit Agarwal, Colorado School of Mines, USA
  • Sean Barry, Carleton University, Canada
  • Rob Clark, TEL America
  • Mike Cooke, Oxford Instruments
  • Annelies Delabie, IMEC
  • Jolien Dendooven, University of Ghent
  • Mickael Gross-Jean, ST Microelectronics
  • Joseph Hupp, Northwestern University
  • Ying-Bing, Jiang, University of New Mexico
  • Byung Joon Choi, Seoul National University of Science and Technology
  • Keren Kanarik,  Lam Research, USA
  • John Langan,  Air Products / Versum Materials
  • Anatoly Malygin,  St Petersburg State Technological Institute
  • Fred Roozeboom, TU Eindhoven, The Netherlands
  • Lars Samuelson, Lund University
  • Massimo Tallarida,  Cells Alba, Spain
  • Stephan Wege, Plasway GmbH, Germany

The Convention Centre Dublin was developed to provide a world-class conference venue in the heart of Ireland’s capital city. Located just 20 minutes from the airport in Dublin’s Docklands, the finance and technology hub of the city, The CCD is ideally positioned to entice the international business tourism market. (See more at: http://www.theccd.ie/about-us#sthash.dV7v11eX.dpuf)
  Further speakers, profiles and the conference programme will be published soon.  Please check back for information.

http://ald2016.com/programme/


Tuesday, February 9, 2016

Update on Beneq Spatial ALD

Here is yet another update from Beneq on their new Spatial ALD technology: In November last year, we shared the first news about our new spatial ALD equipment that works with moving sheet substrates. We also promised our dear readers more information later about how we would make spatial ALD bigger, faster and more flexible. Now we have been running pilots with different materials for a couple of months, and it is time to keep that promise and share more details.

Foll post can be found here on the Beneq Blog: http://www.beneq.com/blog/201602/size-matters-and-speed-too.html


Sub 20nm DRAM High-k from NaMLab, RWTH, KU Leuven and Samsung

NaMLab in Dresden, RWTH Aachen,Germany, KU Leuven, Belgium and Samsung has quite successfully since some years been collaborating on further high-k development for sub 20 nm DRAM. The research is lead by Uwe Schröder (ex-Qimonda High-k Principal) and Kyhyo Cho from Samsung. Here is a recent paper on how to push the ZrO2 based high-k further to even lower CET and leakage performance by introducing SrO inter layer high-k. Please enjoy this open source publication - abstract is given below.

Instead of STO based high-k that is physically too thick to fit in a sub 20nm DRAM cell, two different new approaches to develop a new ZrO based DRAM capacitor stack are presented:

1) by changing the inter-layer material from AlO to SrO 
2) the exchange of the top electrode material from TiN to Pt 

Low leakage ZrO based capacitors for sub 20 nm dynamic random access memory technology nodes

Milan Pešić, Steve Knebel, Maximilian Geyer, Sebastian Schmelzer, Ulrich Böttger, Nadiia Kolomiiets, Valeri V. Afanas'ev, Kyuho Cho, Changhwa Jung, Jaewan Chang, Hanjin Lim, Thomas Mikolajick and Uwe Schroeder
J. Appl. Phys. 119, 064101 (2016); http://dx.doi.org/10.1063/1.4941537
 
 
 

During dynamic random access memory (DRAM) capacitor scaling, a lot of effort was put searching for new material stacks to overcome the scaling limitations of the current material stack, such as leakage and sufficient capacitance. In this study, very promising results for a SrTiO based capacitor with a record low capacitance equivalent thickness value of 0.2 nm at target leakage current are presented. Due to the material properties of SrTiO films (high vacancy concentration and low band gap), which are leading to an increased leakage current, a physical thickness of at least 8 nm is required at target leakage specifications. However, this physical thickness would not fit into an 18 nm DRAM structure. Therefore, two different new approaches to develop a new ZrO based DRAM capacitor stack by changing the inter-layer material from AlO to SrO and the exchange of the top electrode material from TiN to Pt are presented. A combination of these two approaches leads to a capacitance equivalent thickness value of 0.47 nm. Most importantly, the physical thickness of <5 nm for the dielectric stack is in accordance with the target specifications. Detailed evaluation of the leakage current characteristics leads to a capacitor model which allows the prediction of the electrical behavior with thickness scaling.

Monday, February 8, 2016

Cubic High-k HfO2 by ALD on high mobility Germanium channels

High mobility Germanium is one of the most promising channel materials for future Logic, perhaps even at 7nm. Here is an open source paper (see abstract below) on using TEMAHf/H2O process for growing high symmetry cubic HfO2 on high mobility Germanium channel. It´s a joint work by University of Tokyo, Japan, and Zhejiang University, China. Until now all silicon based channel gate dielectrics are typically performed by using the HfCl4/H2O process. However, now moving too alternate high mobility channel materials like Germanium, InGaAsand other III/Vs it seem that the gate stack people will revisit the MO-precursors again - interesting!

 
The Takagi-Takenaka group researches the post-scaling semiconductor devices for low-power LSI and on-chip optical interconnection for: Ge/III-V MOSFETs, Tunnel FETs, Si photonics, III-V CMOS photonics, Graphene photonics and 2D material electronics (from Takagi and Takenaka Group)

For those of you interested in additional information from the Takagi and Takenaka Group check out there excellent web pages here: http://www.mosfet.k.u-tokyo.ac.jp/index-e.html

Low temperature formation of higher- cubic phase HfO by atomic layer deposition on GeO/Ge structures fabricated by thermal oxidation  

R. Zhang, P.-C. Huang, N. Taoka, M. Yokoyama, M. Takenaka and S. Takagi
Appl. Phys. Lett. 108, 052903 (2016); http://dx.doi.org/10.1063/1.4941538

We have demonstrated a low temperature formation (300 °C) of higher- HfO using atomic layer deposition(ALD) on an thermal oxidation GeO interfacial layer. It is found that the cubic phase is dominant in the HfOfilm with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfOfilm on a 1-nm-thick GeO form by the thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO can be induced by the formation of six-fold crystalline GeOstructures in the underlying GeO interfacial layer.

Saturday, February 6, 2016

Carbon nanospheres with highly monodispersed & conformal metal coating of carbon nanoparticles

Here is a very interesting paper on conformal coatings of various metal coated carbon nano particles not using ALD - so you should all be aware of this competition! The paper from Australian researchers has a Creative Commons open source and is given below. Thre paths for conformal coatings are reported and visualized in the the overview below.

A synthetic strategy for carbon nanospheres impregnated with highly monodispersed metal nanoparticles

Tianyu Yang, Huajuan Ling, Jean-Francois Lamonier, Mietek Jaroniec, Jun Huang et al.
NPG Asia Materials (2016) 8, e240; doi:10.1038/am.2015.145, licensed under under a Creative Commons CC-BY license





Schematic illustration of three general routes for the formation of various types of nanospheres using aminophenol–formaldehyde (APF) resin (Source: NPG Asia Materials (2016) 8, e240; doi:10.1038/am.2015.145, licensed under under a Creative Commons CC-BY license)

Friday, February 5, 2016

UPDATE: Novel High-k Application Workshop 2016

If you haven´t booked a trip to Dresden Germany yet you should think about doing so now! This is foor you who are interested in Novel High-k devices & applications, Memory devices including RRAM & Ferroelectric memory, Solar cells, CVD, ALD, PVD and Atomic Layer Etching.

Check out the updated Agenda here - quite a line up for this years Novel High-k materials Workshop organized by Uwe Schröder at NaMLab. Inveted talks by :

  • Paul Hurley, Tyndall National Institute, Cork IE
  • Elke Erben, Globalfoundries, Fab1, Dresden, GER
  • Jan van Houdt, Imec, Leuven, BE
  • M.H. Park,Seoul National University, Seoul, KR
  • E. Jalaguier, CEA-LETI, Grenoble, F
  • Fred Roozeboom, TU Eindhoven/TNO, Eindhoven NL
  • Riikka Puurunen, VTT, Helsinki, FIN
  • Dmitry Suyatin, Lund Nano Lab, Lund, SE
  • Harm Knoops, Oxford Instruments, Eindhoven, NL
  • and many more!

Agenda:

http://www.namlab.com/news/high-k-application-workshop-agenda?lang=en


Workshop Dinner Brauhaus am Waldschlösschen sponsored by Oxford Instruments, Pegasus, and FAB Support



Sponsors & Exhibiton:


COST | European Cooperation in Science and Technologyhttp://www.european-ald.net/

 http://www.oxford-instruments.com/

http://pegasuschemicals.com/  

 Strem Chemicals, Inc.http://www.strem.com/

Co-organized by:

 

Amtech’s & SoLayTec’s solar tool orders boosted in South East Asia

Amtech Systems Inc., who recently bought Dutch Spatial ALD equipment manufacturer SoLayTec reports: TEMPE, Ariz., Feb. 4, 2016 /PRNewswire/ -- Amtech Systems, Inc. (NASDAQ: ASYS), a global supplier of production equipment and related supplies for the solar, semiconductor, and LED markets, today announced its solar segment, consisting of Tempress Systems, Inc., R2D Automation, and SoLayTec, received approximately $12 million in new solar orders in January, including orders for SoLayTec's ALD systems. The orders are expected to ship within the next six to twelve months.

Fokko Pentinga, Chief Executive Officer of Amtech, commented, "We are pleased with the strong level of bookings to start to our fiscal second quarter, and continue to see healthy quotation activity within our solar segment."

  SoLayTec in Eindhoven, Netherlands,  develops, produces and services production systems for ultrafast, spatial Atomic Layer Deposition, a promising technology for ultrathin Al2O3 passivation layers on solar cells. (www.solaytec.com)

The view by Intel on Moore`s Law and Beyond cmos

Here is an interesting article in EE Times on the future of Moore`s law in the view of Intel’s top fab executive, speaking to an audience of chip designers:


 “The economics of Moore’s Law are sound if we focus on reducing cost per transistor,” William Holt told about 3,000 attendees of the International Solid-State Circuits Conference (ISSCC) here. But “beyond CMOS we’ll see changes in everything, probably even in computer architecture,” he said.


Full article: http://www.eetimes.com/document.asp?doc_id=1328835

Thursday, February 4, 2016

CMC² 2016 Call for Papers & Registration, May 5-6, Hillsboro, Oregon USA

 
CMC² 2016 Call for Papers
The Critical Materials Council (CMC) and TECHCET have issued a call for papers to be presented at the CMC Conference to be held May 5-6, 2016 in Hillsboro, Oregon, USA. Semiconductor manufacturing industry experts from IDMs, OEMS, and materials suppliers will gather to discuss actionable information on critical materials used in HVM fabs surrounding the theme of "Critical Materials for Device Driven Scaling", while also looking at issues associated with new materials needed for future devices. Tim G. Hendry, Vice President, Technology & Manufacturing and Group Director of Fab Materials, Intel Corp., will provide the keynote address.
Following the annual members-only Critical Materials Council meeting to be held May 3-4, the 2016 CMC Conference is open to the public. Business drives our world, but technology enables the profitable business of manufacturing new devices in IC fabs, and new devices need new materials. In addition to panel discussions, presentation sessions will focus on the following topics:
I. Semiconductor Market Briefing: application-specific demands for devices and materials
II. Tracking the Supply Chain down to Earth, Wind, and Fire: manufacturing and supply chain 
III. Emerging Materials Evolutions: alternate logic channels and new memory switches, and
IV. Materials Revolutions: beyond silicon CMOS.

To submit a paper for consideration, please send a 1-page abstract focusing on critical materials supply dynamics by March 4, 2016 to cmcinfo@techcet.com.
Click here for a pdf version of this Call for Papers.
Attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. The early-bird registration fee (before April 15th) for the CMC Conference is $349; the standard registration fee is $425 (after April 16th).  CMC member companies will be attending this meeting, as it is an important part of their membership.  
On behalf of the CMC Conference Committee,
Lita Shon-Roy, Jonas Sundqvist, Ph.D., Ed Korczynski
CMC Conference 2016 Registration is Now Open!
Register by April 15 for early bird discount, price of $349!
Dates: May 5-6, 2016*
Location: Hillsboro, Oregon - The Embassy Suites Hotel
Click for the  CMC Conference Page
For up to date agenda details, click here.
*This is the open forum portion of the CMC regularly scheduled meeting.

CMC Support and Sponsorship Opportunities
It is our continued goal to provide up to date, relevant information on the supply markets of critical materials through education and collaboration of industry professionals. None of this is possible without the help of our sponsors!
To learn more about sponsorship opportunities click here to be taken to the CMC Fabs website. 


Wednesday, February 3, 2016

ALD History Blog: VPHA abstract to ALD 2016 Ireland - DL Feb 7

ALD History Blog: VPHA abstract to ALD 2016 Ireland - DL Feb 7: The webpage of ALD 2016 in Dublin, Ireland, July 24-27, http://ald2016.com/ has been updated and abstract submission is open.

From VPHA, there will be one abstract to ALD 2016 (Item #9 in the Publication Plan). The contents of the presentation will be a summary of the historical ALD papers and a recommended reading list, created together by the VPHA group, based on the contents of the ALD-history-evolving-file. All those will be listed as authors of the presentation, who have participated in the voluntary VPHA reading and left at least one comment in the ALD-history-evolving-file by the time of sending the abstract. We have at the moment 41 co-authors from 12 countries (Poland joined recently).

The deadline of ALD 2016 is February 14. The VPHA internal deadline should be somewhat earlier; let us make this one week. If you'd like to join the VPHA abstract as an author, please make your first comment in the ALD-history-evolving-file no later than on February 7, 2016. People can of course join the voluntary VPHA reading later than this, too,only then their name will not appear in the ALD 2016 abstract.

Tuesday, February 2, 2016

EuroCVD-BalticALD 2017 Sweden Update: Invited Speakers & Tutorials

Here are some updates on the the CVD-ALD bonanza that will take place in Linköping, Sweden 2017. We will organize a joint EuroCVD-BalticALD meeting over three packed days 11-14 June,  four days in total as there will be tutorials on Sunday and a welcome mixer in evening.

The event is now also open for sponsoring & exhibition, please contact us for more information.

Hope to see many of you in Linköping Sweden summer 2017!

Kind regards,
Henrik Pedersen, Linköping University, Chair
Jonas Sundqvist, Lund University / Technical University of Dresden
Sean Barry, Carleton University
Mats Boman, Uppsala University




Invited speakers:

Angel Yanguas-Gil
Argonne National Laboratories, USA

Martin Magnusson
Lund university, Sweden

Stacey Bent
Stanford University, USA

Claire Carmalt
University College London, UK

Annelies Delabie
KU Leuven, Belgium 



Sunday tutorials will be held at Linköping University, Campus Valla and be focused on surface chemistry for CVD and ALD

Tutors:

Simon Elliott
Tyndall Institute, Ireland

Francisco Zaera
University of Californa, Riverside, USA

Maarit Karpinnen
Alto University, Finland 

Scienific Committee: 

Henrik Pedersen, Linköping University, Sweden
Jonas Sunqvist, TU Dreseden/Lund University, Germany/Sweden
Sean Barry, Carleton University, Canada
Mats Boman, Uppsala University, Sweden
Ray Adomaitis, University of Maryland, USA
Markku Leskelä, University of Helsinki, Finland
Jaan Aarik, Tartuu University, Estoina
Kaupo Kukli, Tartuu University, Estoina
Martyn Pemble, Tyndall Institute, Ireland
Mato Knez, nanoGune, Spain
Anajan Devi, Ruhr-Bochum University, Germany


UPDATE: Area Selective Deposition Workshop - ASD 2016 hosted by Imec

Imec and the COST action HERALD will host a workshop dedicated to Area Selective Deposition “ASD 2016”, at imec in Leuven, Belgium on April 15th, 2016. This workshop will provide an excellent opportunity for the R&D community to learn about Area Selective Deposition and it will offer a forum for open discussions between researchers from academia and industry. We look forward to your participation.


The workshop will focus on the fundamental mechanisms of ASD processes and nucleation, as well as on the challenges related to the characterization of selectivity. Potential applications of selective deposition will be discussed.



The 1 day program will consist of:
  • Presentations by invited speakers on the fundamentals and characterization of selectivity
  • A panel discussion about potential applications of selective deposition
  • A poster session covering selective deposition as well as nucleation. Poster contributions are welcome by abstract submission
  • Coffee breaks, lunch and diner
Confirmed invited speakers: 
Soley Ozer (Intel), Mikko Ritala (Helsinki University), Erwin Kessels (TU Eindhoven), Simon Elliott (Tyndall National Institute), Gregory Parsons (North Caroline State University), Christos Takoudis (University of Illinois at Chicago), Hyungjun Kim (Yonsei University), Wilfried Vandervorst (imec), Manfred Buck (St Andrews University), Jim Engstrom (Cornell University)
Registration module is open - Deadline: March 25th, 2016.
- Poster contributions are welcome by abstract submission (see website). Deadline: March 15th, 2016. The poster session is covering area-selective deposition as well as nucleation.
- HERALD travel support: HERALD members can apply for travel support by sending a short motivation letter and CV to asd2016@imec.be. Deadline: March 2nd, 2016.


More information is available at http://www2.imec.be/be_en//education/conferences/asd-workshop-2016.html

Monday, February 1, 2016

Metal Amidinates from Strem Chemicals for ALD

As reported earlier here on the BALD Blog - Strem Chemicals & Harvard University has signed a deal on distribution of ALD metal amidante precursors out of the UK. Presumably the deal that Dow left when exiting the booming ALD precursor business.

Here is a link too the new offering: http://www.strem.com/catalog/family/Metal+Amidinates/ 


Epiluvac and SAMCO to offer processing equipment for WBG materials in Nordic countries

As earlier reported here on the BALD Blog Epiluvac from Sweden and SAMCO from Japan has signed a collaboration deal. Now the to partners have signed an extened agreement to include distribution of SAMCO products in Scandinavia. Interestingly SAMCO also have an ALD product line. See also previous report on ALD here.


 Epiluvac’s EPI-1000X silicon carbide reactor.
 
 
 
SAMCO's new AL-1 ALD system.
 
As reported by Solid State Technology : Sweden-based SiC CVD developer and manufacturer Epiluvac AB has entered into a collaboration with SAMCO, a semiconductor process equipment developer and manufacturer based in Japan, in which Epiluvac will introduce new clients to SAMCO in Sweden, Norway, Finland and Denmark.
 
 

Bo Hammarlund, Chair , CEO, founder  of Epiluvac AB
 
SAMCO offers systems and services that revolve around three major technologies: 1) thin film deposition with PECVD, MOCVD and ALD systems, 2) microfabrication with ICP etching, RIE and DRIE systems, and 3) surface treatment with plasma cleaning and UV ozone cleaning systems.

“With this collaboration, Epiluvac and SAMCO are both acting as a one-stop solution,” says Bo Hammarlund, managing director of Epiluvac AB. “We offer our expertise to help customers decide upon the best combinations in terms of processing equipment for WBG materials, including both SiC and GaN materials.”

Australian company Audio Pixels employing ALD for new generation of MEMs Digital Speakers

Australian company Audio Pixels reports on using ALD as a key technology for their new MEMs based speakers. An Audio Pixels speaker is a MEMS chip roughly 1 mm thick. The chip replaces conventional speaker driver(s), enclosure or acoustic chamber, as well as the electronic circuitry associated with converting the digital signal feed to analog. The reoprt belwo doens´tell us exactly how ALD is employed but oyu can imagine it beeing used in the 3D pixelated speaker elements.


Press release: The Company is pleased to announce that it has reached the first verification stage, of the fourth and final phase of the commercial product development plan. The company has recently received and began testing the first batch of verification wafers. Verification wafers allow our teams to examine, test and validate characteristics, progress and compliance of the MEMs chips during and throughout the fabrication process in order to better ensure, to the maximum extent possible, successful fabrication of Phase-IV chips. 
 
 

ASM International Shares Bought by EQIS Capital Management

As reported numerous times here on the ALD Blog, ALD is booming and especially for semiconductor equipment manufacturers like ASMi with a considerable part of their sales in ALD (HKMG, spacer, liners, multiple patterning). According too Gartner, VLISI and others the forecasted growth for single wafer ALD processing equipment i supposed to double until 2018.

EQIS Capital Management boosted its position in ASM International NV - The world´s leading ALD Company, by 8.7% during the fourth quarter, according to its most recent Form 13F filing with the Securities and Exchange Commission. The firm owned 9,302 shares of the company’s stock after buying an additional 741 shares during the period. EQIS Capital Management’s holdings in ASM International NV were worth $367,000 as of its most recent SEC filing.



Separately, Morgan Stanley assumed coverage on ASM International NV in a research report on Tuesday, December 15th. They set an “overweight” rating for the company. 

Full report by Corvus Business : http://corvuswire.com/2016/01/27/asm-international-nv-asmi-shares-bought-by-eqis-capital-management/779529/