Friday, September 25, 2015

ALD Russia 2015 workshop: a travel report by Riikka Puurunen

The Atomic Layer Deposition Russia 2015 (“ALD Russia”, in short) workshop was organized by Moscow Institute of Physics and Technology (MIPT), in Dolgoprudny, Moscow region, on September 21-23, 2015. The chairman of the workshop was Anatoly Malygin from St. Petersburg Technological Institute; Dr. Andrey Zenkevich and Dr. Andrey Markeev from MIPT were in charge of the local organizing committee. The workshop was opened by the rector of MIPT, corresponding member of RAS, professor Nikolay Kudryavtsev. According to the organizers, 67 people were registered to attend the workshop.

From left: Puurunen, Malygin, Parsons. Photographer: Abdulagatov (Riikka Puurunen, Twitter)

Invited speakers at the workshop were:
Anatoly Malygin (St. Petersburg Technological University, Russia), Steven M. George (University of Colorado at Boulder, USA), Gregory N. Parsons (Carolina State University, USA), Riikka Puurunen (VTT Technical Research Centre of Finland), Annelies Delabie (IMEC, Belgium), Hyungjun Kim (Yonsei University, Korea), Cheol Seong Hwang (Seoul National University, Korea), Robert M. Wallace (University of Texas at Dallas, USA), Andrey Markeev (Moscow Institute of Physics and Technology, Russia), Sabina Spiga (Laboratorio MDM, IMM-CNR, Italy), Andrey Zenkevich/Yury Matveev (Moscow Institute of Physics and Technology, Russia), Vladimir Gritsenko (A. V. Rzhanov Institute of Semiconductor Physics of SB RAS, Russia), Ingo Dirnstorfer (NaMLab, Germany), Vladislav Vasilyev (Novosibirsk State Technical University and SibIS LCC, Russia), Giovanna Scarel (James Madison University, USA), Erwin Kessels (Eindhoven University of Technology, The Netherlands), Sean Barry (Carleton University, Canada), Svetlana Dorovskikh (A. V. Nikolaev Institute of Inorganic Chemistry SB RAS), and Evgeny Gornev (Mikron, Russia).

The scientific programme of the workshop was a balanced mixture of various subject areas, for example, ALD/PEALD precursor and process development, atomic layer etching, 2D materials, semiconductor applications, and thin film conformality analysis. Some truly futuristic talks were included in the programme, e.g. related to neural networks with ALD-grown memristors. There were also two talks that looked backwards in time: one on the historical developments of ALD under the name Molecular Layering in USSR/Russia; and one describing the organization of the on-going Virtual Project on the History of ALD (VPHA), a volunteer-based project where new participants are welcome and needed.

The non-scientific programme at the workshop consisted of a Moscow river cruise on Tuesday evening. The participants were transported with a bus from Dolgoprudny (through the well-known traffic jam of Moscow) to the centre of the city. With the private boat accompanied with a guide, the participants travelled along the Moskva river for about five hours, passing places such as Tretjagovskii Gallery, Kreml and the Red Square, and seeing also the statue of Peter the Great. At the boat, food was excellent and plenty. Many discussions were carried out in a forward-looking, pleasant sphere, and new connections made.


At the end of the workshop, the participants had a chance to visit the laboratories at MIPT.

As specified at the website http://ald-conf.ru/, the goal of the workshop was to “consolidate the rapidly growing Russian ALD community, and to bring Russian researchers closer to leading international experts in the field.” In my view, this target was met: many leading scientists from Russia, several European countries, USA, Canada and Korea got together at the workshop, interacted during presentations, and enjoyed each other’s company at the social event.

With this travel report, I want to thank the organizers at MIPT for creating this unique event. I also express my thanks to Gregory Parsons, who had come up with the idea that this kind of a workshop should be organized, and to Giovanna Scarel, who thereafter advanced this idea with her long-term collaborators at MIPT. While this meeting was not directly related to the ongoing ALD history project VPHA, it is evident that the VPHA has ripened the time for this type of workshop to happen.

This workshop was the first of its kind. The organizers envisioned that there will be continuation in one way or another --- how exactly, is left for the future to show. My hope is that the international ALD community could once meet in St. Petersburg, where ALD research has been carried out already over fifty years.

Espoo, September 25, 2015
Riikka Puurunen
Senior Scientist, VTT Technical Research Centre of Finland
Coordinator of the Virtual Project on the History of ALD (VPHA)

  • The slides of the talk related to Virtual Project on the History of ALD can be found through the VPHA webpage, see http://vph-ald.com/ALD-history-publications.html (direct link here). The slides of the ML-ALD talk should appear at the same VPHA website in the near future. The goal of the organizers was also to later collect and share the slides presented at the workshop through the workshop website http://ald-conf.ru/.
  • In Twitter, the workshop became known with hashtag #ALDRussia.

Monday, September 21, 2015

UPDATE: Photo Show from the International workshop ALD Russia 2015

The now ongoing ALD 2015 in Moscow Russia, 21-23 Septmber 2015, has quite an impressive line up of invited speakers. Here all photos that appear in social media will be collected and you are also welcome to send via e-mail directly to me (jonas.sundqvist@baldengineering.com)

In the meantime many excellent pictures from the organizers have become available here: https://www.flickr.com/photos/miptpix/sets/72157656594919343




ALD Russia 2015 is held in the BioPharmCluster building of MIPT





BioPharmCluster building of MIPT (Dmitry Suyatin, Lund Nano Lab)


From left: Puurunen, Malygin, Parsons. Photographer: Abdulagatov (Riikka Puurunen, Twitter)



Riikka Puurunen and Sean Barry (Twitter)

Scarel and Puurunen in front of the conference venue in Dolgoprudny (Riikka Puurunen, VTT, Twitter)





Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)



Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)



Moskva river cruise (Riikka Puurunen, VTT, Twitter)


Moskva river cruise (Sean Barry, Barry Lab, Twitter)


Flower Power car (Dmitry Suyatin, Lund Nano Lab)




Handbook of Silicon Based MEMS Materials and Technologies with ALD Chapter

"A comprehensive, well-proven reference work on state-of-the-art MEMS materials, technologies and manufacturing, emphasizing current and future applications" with an ALD Chapter by Riikka Puurunen and Matti Putkonen.


Handbook of Silicon Based MEMS Materials and Technologies, 2nd Edition
Editor(s) : Lindroos, Motooka, Franssila, Paulasto-Krockel, Tilli & Airaksinen
Expected Release Date:05 Nov 2015
Imprint:William Andrew
Print Book ISBN :9780323299657
eBook ISBN :9780323312233

Friday, September 18, 2015

Woah! Check out this ALD Chamber manufacured by Applied Vacuum Technology for University of Colorado’s

Woah! Check out this ALD Chamber manufacured by Applied Vacuum Technology, LLC for Andrew Cavanaugh at Boulder Colorado in the famous S.M. Georges group. 
 
 
"A special project directed by senior research associate, Andrew Cavanaugh, required a vacuum chamber 9 feet in length, numerous side ports with critical alignment, sufficient rigidity to maintain locational tolerances during process, and precisely aligned rail system for trolley that moved back-and-forth inside the chamber. Applied Vacuum Technology and its parent company, Anderson-Dahlen, collaborated to provide engineering and fabrication support for this custom vacuum chamber which Cavanaugh needed in order to carry out his ALD research"

Full story here:  Custom Vacuum Chamber Used in Atomic Layer Deposition Research at University of Colorado’s S.M. George Research Group




UPDATE: Symposium of The ALD Lab Dresden at SEMICON Europa

Symposium of the ALD-Lab

Workshop on Atomic Layer Processing

Date: 6 October 2015
Time: 09:00 - 15:10
Location: Room Columbus, Messe Dresden


Looking back in the evolution of IC technology, it can be stated that from the 0.25µm node on, the key for further shrinking was planarization. This was enabled by the introduction of an emerging technology, the CMP. Since the 28 nm node it can be observed that, at least in the front end of line, starting with the FinFET and possibly continuing with the surrounding gate transistor, the required structures become more and more three dimensional, while the thickness of the associated films become extremely thin (gate dielectric, work function layer, barrier layer). The emerging technology enabling this is Atomic Layer Deposition (ALD).
ALD is based on self limiting heterogeneous chemical reactions which allow the fabrication of very thin (sub nm to few nm) layers with high accuracy (basically atomic layer precision), extremely well conformality and intrinsically high uniformity even in batch tools. Although the scientific background of ALD goes far back in history, ALD for semiconductor processing can still be considered as a novel technology.
Progress in ALD is associated with tools, but even more with specifically designed precursors which need to be applied at optimum conditions of the gas feed system, the process chamber and the substrate condition. Our workshop, which is organized by the “ALD Lab Dresden” wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology.
The self limiting behavior of the heterogeneous reaction can however also be used to remove material from a substrate in an extremely controlled fashion of atomic dimensions. This process, that can be viewed as the complement to ALD is called Atomic Layer Etching (ALEt). As for ALD also ALEt can be a game changer for the semiconductor industry utilizing surface functionalization and modification similar to those we know in ALD and resulting in a chemistry-based material removal on the same atomic level as in ALD – A layer by layer removal.
In general scaling is thought about to be a shrink in the critical dimensions (CD, pitch) in the latheral xy-plane, today scaling is also taking place in the z-direction, i.e.,  a reduction in the thickness of the film stacks like the High-k Metal Gate stack. This has resulted in that the thicknesses of the film stacks of devices today are now routinely approaching <20 Å nm providing an opportunity for slow and precise etching by ALEt.
We hope that this new part of the ALD Lab Dresden Symposium will allow for increased scientific and technological discussion for enabling ALEt and learning from ALD and related plasma based processing techniques like Plasma CVD and Reactive Ion Etching.



AGENDA


 Tuesday, 6 October 2015

 09:00WelcomeOrganized by:







  

Prof. Johann W. Bartha, TU Dresden

 09:15In situ monitoring of Atomic Layer Deposition in porous materials

Martin Knaut, TU Dresden

 09:40Passivation of MEMS by Atomic Layer Deposition

Matthias Schwille, Robert Bosch

 10:05Growth Monitoring by XPS and LEIS Investigations of Ultrathin Copper Films Deposited by Atomic Layer Deposition

Dileep Dhakal, TU Chemnitz/FhG ENAS

 10:30High-k dielectrics by ALD for BEOL compatible MIM

Wenke Weinreich, FhG IPMS-CNT


 10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
Mario Krug, FhG IKTS


 11:20ALD for solar cell application
Ingo Dirnstorfer, NaMLab


 11:45Plasma enhanced ALD process for TiO2- and WO3- films

Alexander Strobel, FH Zwickau


 12:10Lunch Break (Conversation, Networking, Finger food)


 13:00Why do we need Atomic Layer Etching

Jonas Sundqvist, Lund University/TU Dresden


 13:25Spatial Atomic Layer Deposition and Atomic Layer Etching

Prof. Fred Roozeboom, / TNO Eindhoven


 13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?

Harm Knoops, Oxford Instruments/TU Eindhoven


 14:15Hardmask and side wall protection during dry etching with plasma enhanced deposition during dry etching for ALE purposes

Stephan Wege, Plasway


 14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation

Jacques Kools, Encapsulix


 15:05Closing Remarks / Wrap Up

Prof. Johann W. Bartha, TU Dresden


 15:10End


Registration

No pre-registration required but you must register as a visitor, in order to gain access to the venue:

Thursday, September 17, 2015

The ALD Boom - ALD Market Heats Up

ALD Market Heats Up - You bet! Here is an interesting piece by Mark Lapedus on the ALD Boom and it makes you wonder why Applied Materials has waited so long in taking on this market, which they have done now by the introduction of the Olympia Fast ALD / Spatial ALD platform. Many says that it has to do with certain IP ending in 2016. One missing point here though is that Kokusai is not included in the market share estimation for 2014 since they are a ALD top 5 or even top 3 company.

Number of applications for technology increase, and so do the number of companies vying for a piece of the growing market.

SEPTEMBER 17TH, 2015 - BY: MARK LAPEDUS

Amid the shift to 3D NAND, finFETs and other device architectures, the atomic layer deposition (ALD) market is heating up on several fronts.

Applied Materials, for example, recently moved to shakeup the landscape by rolling out a new, high-throughput ALD tool. Generally, ALD is a process that deposits materials layer-by-layer at the atomic level, enabling thin and conformal films on devices.

Meanwhile, ASM International, Lam Research, Tokyo Electron (TEL), Ultratech and others are also stepping up their efforts in ALD, and for good reason: the applications are rapidly expanding for ALD.

Traditionally, ALD has been used for DRAM capacitor and high-k applications. Now, toolmakers are chasing after some relatively new and sizable ALD markets, namely 3D NAND and multi-patterning for advanced logic. Other emerging ALD markets include fin doping, interconnects,ReRAMs and selective deposition.

“ALD has become more important for chip production,” said Han Jin Lim, an ALD expert and a technical member at Samsung Semiconductor’s R&D Center. “As the structure of a chip becomes more complicated, thinner and more conformal films are required. The quality of the films (must also be) maintained. ALD is the best methodology to achieve them.”

In 2014, ASMI was the leader in the ALD tool market with a 53% share, followed in order by TEL (27%), Jusung (6%), Lam Research (5%), Wonik IPS (5%) and Aixtron (2%), Freeman said.

Full story at Semiconductor engineering : http://semiengineering.com/ald-market-heats-up/

Berkeley Lab can characterize individual defects inside a bulk insulator using STM and graphene

I am curious if this technique could be adopted to be used to study defects in metal oxides like ZrO2, HfO2, SrTiO3 etc. in devices like HKMG transistors, Resistive RAM and Ferroelectric (FRAM, FeFET) memory cells.

As reported by Berkeley Lab : Nanoscale defects are enormously important in shaping the electrical, optical, and mechanical properties of a material. For example, a defect may donate charge or scatter electrons moving from one point to another. However, observing individual defects in bulk insulators, a ubiquitous and essential component to almost all devices, has remained elusive: it’s far easier to image the detailed electrical structure of conductors than insulators.



(a) STM topographic image of a clean graphene/BN area (b) dI/dV map acquired simultaneously with (a) exhibits new features including bright dots, a dark dot and a ring.

Now, Berkeley Lab researchers have demonstrated a new method that can be applied to study individual defects in a widely used bulk insulating material, hexagonal boron nitride (h-BN), by employing scanning tunneling microscopy (STM).

“Normally, STM is used to study conductors and cannot be used to study bulk insulators, since electrical current does not typically flow through an insulator,” explains Mike Crommie, physicist at Berkeley Lab’s Materials Sciences Division and professor at UC Berkeley, in whose lab this work was conducted. His team overcame this obstacle by capping the h-BN with a single sheet of grapheme.

Dresden Memory Startup To Debut At Semicon Europa

EETimes reports : A startup company that is working on a ferroelectric non-volatile memory technology based on hafnium oxide is set to make its debut at the Semicon Europa exhibition taking place in Dresden, Germany, October 6 to 8.

The company is in the process of being spun out from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden. It is currently listed as The Ferroelectric Memory Company (FMC) although CEO Stefan Mueller told EE Times Europe said that the name may change during the formal company creation and registration process.



The company is the product of work at NaMLab on the ferroelectric effect in thin films of silicon-doped hafnium dioxide. That work was, in turn, based on a discovery made in research at now defunct DRAM manufacturer Qimonda in 2007 by Tim Boeske that hafnium dioxide, if prepared in the right way could be made to demonstrate a ferroelectric effect. Hafnium oxide is well known as an insulator material used for high-k metal-gate (HKMG) transistor structures. 
TEM of FeFET processed in 28 nm high-k metal gate CMOS Technology (left) and 2D TCAD-model for device simulation (right). (Picture from NaMLab)

FMC has been formed by NaMLab to commercialize the work and has taken over a publicly-funded program that will provide €500,000 (about $565,000) to cover development over the period April 2015 to September 2016. Meanwhile the small group of engineers that have formed the company are looking for early-stage investment and potential partners, Mueller said.

Wednesday, September 16, 2015

ALD of Co9S8 and Its Application for Supercapacitors

Vapor-Phase Atomic Layer Deposition of Co9S8 and Its Application for Supercapacitors

Hao Li, Yuanhong Gao, Youdong Shao, Yantao Su, and Xinwei Wang
School of Advanced Materials, Shenzhen Graduate School, Peking University, Shenzhen 518055, China
Nano Lett., Article ASAP
Publication Date (Web): August 27, 2015



Atomic layer deposition (ALD) of cobalt sulfide (Co9S8) is reported. The deposition process uses bis(N,N′-diisopropylacetamidinato)cobalt(II) and H2S as the reactants and is able to produce high-quality Co9S8 films with an ideal layer-by-layer ALD growth behavior. The Co9S8films can also be conformally deposited into deep narrow trenches with aspect ratio of 10:1, which demonstrates the high promise of this ALD process for conformally coating Co9S8 on high-aspect-ratio 3D nanostructures. As Co9S8 is a highly promising electrochemical active material for energy devices, we further explore its electrochemical performance by depositing Co9S8 on porous nickel foams for supercapacitor electrodes. Benefited from the merits of ALD for making high-quality uniform thin films, the ALD-prepared electrodes exhibit remarkable electrochemical performance, with high specific capacitance, great rate performance, and long-term cyclibility, which highlights the broad and promising applications of this ALD process for energy-related electrochemical devices, as well as for fabricating complex 3D nanodevices in general.

Surface Chemistry of Copper Metal and Copper Oxide ALD

To produce continuous non-island forming films of copper by ALD is extremely difficult. Here is a good article from Fraunhofer ENAS in Chemnitz, Germany, on the mechanism behind ALD of Copper and coper oxide using the rather well studied Cu(acac)2 precursor but not so easy process. 


Surface Chemistry of Copper Metal and Copper Oxide Atomic Layer Deposition from Copper(II) Acetylacetonate: A Combined First-Principles and Reactive Molecular Dynamics Study

(Physical Chemistry Chemical Physics) Monday September 14th 2015
Author(s): Xiao Hu, Joerg Schuster, Stefan Schulz, Thomas Gessner
DOI:10.1039/C5CP03707G

Atomistic mechanisms for the atomic layer deposition using the Cu(acac)2 (acac = acetylacetonate) precursor are studied by first-principles calculations and reactive molecular dynamics simulations. The results show that Cu(acac)2 chemisorbs on the hollow site of the Cu(110) surface and decomposes easily into a Cu atom and the acac-ligands. A sequential dissociation and reduction of the Cu precursor [Cu(acac)2→Cu(acac)→Cu] is observed. Further decomposition of the acac-ligand is unfavorable on the Cu surface. Thus additional adsorption of the precursors may be blocked by adsorbed ligands. Molecular hydrogen is found to be nonreactive towards Cu(acac)2 on Cu(110), whereas individual H atoms easily lead to bond breaking in the Cu precursor upon impact, and thus release the surface ligands into the gas-phase. On the other hand, water reacts with Cu(acac)2 on a Cu2O substrate through a ligand-exchange reaction, which produces gaseous H(acac) and surface OH species. Combustion reactions with the main by-products CO2 and H2O are observed during the reaction between Cu(acac)2 and ozone on CuO surface. The reactivity of different co-reactants toward Cu(acac)2 follows the order H > O3 > H2O.

Monday, September 14, 2015

New Record for Next-Generation Solar Cells to be Presented by Imec at EU PVSEC 2015

New Record Achievements on Next-Generation Solar Cells and Smart Solar Modules to be Presented at EU PVSEC 2015


EU PVSEC 2015 – Sept. 14, 2015 – At this week’s European PV Solar Energy Conference and Exhibition (EU PVSEC) nano-electronics research center imec will present achievements covering the broad spectrum of imec’s comprehensive photovoltaic-related research. Imec’s scientists and researchers will present its latest results in n-PERT solar cells, perovskite solar cell technology and its emerging PV energy yield prediction modeling.
 

Presenting a total of 19 papers, 12 of which are oral presentations, imec will highlight its new record conversion efficiency of 22.5 percent for a six inch n-PERT solar cell, resulting from material and architectural optimizations. N-type silicon solar cells are considered as promising next-generation alternatives to p-type solar cells thanks to their ability to withstand light-induced degradation, and higher tolerance to common metal impurities and high diffusion lengths.

In another presentation at EU PVSEC, imec’s perovskite solar cell, which has been further improved to reach new highest conversion efficiencies of 17 percent, will also be featured. Integrated into a module, a 12.5 percent record module efficiency was demonstrated, further validating that organometal halide perovskites are a promising material for thin-film solar applications.

An emerging research focus at imec is on PV energy yield predictions. In order to optimize the energy yield production of the smart grid, we need accurate predictions of the output of solar power plants in variable weather conditions. This information can be used to stabilize the grid, and to develop smart PV modules that react to the environment to optimize their energy generation yield. Therefore, imec has developed a simulation model that combines imec’s in-depth knowledge of solar cell technology with short term weather predictions. At the conference, imec will present promising modeling results that predict energy yield from solar panels under non-homogeneous irradiation, including e.g. the effects of temperature gradients resulting from wind, with an accuracy that is 20 percent better than the current models.
“The combination of talented scientists, a unique environment for R&D, and the drive of our industrial partners to focus on innovation, underscores imec’s prominent presence at EU PVSEC,” commented Jef Poortmans, Scientific Director of PV at imec. “Imec continues to push the boundaries of PV innovation beyond cell technology towards modeling and system aspects. We invite industrial companies to join us in this endeavor.”

New ALD Book, Atomic Layer Deposition (ALD): Fundamentals, Characteristics and Industrial Applications

Here is a new ALD book edited by Jeannie Valdez Atomic Layer Deposition (ALD): Fundamentals, Characteristics and Industrial Applications to be published by Nova in the 4th quarter 2015.


Editors: Jeannie Valdez
Book Description:
Atomic layer deposition (ALD) is a thin film deposition technique used in the mass production of microelectronics. In this book, novel nonvolatile memory devices are discussed. The chapters examine the low-temperature fabrication process of single-crystal platinum non-thin films using plasma-enhanced atomic layer deposition (PEALD). A comprehensive review of ALD surface coatings for battery systems is provided, as well as a theoretical calculation on the mechanism of thermal and plasma-enhanced atomic layer deposition of SiO2; and fluorine doping behavior in Zn-based conducting oxide film grown by ALD. (Imprint: Nova)

Table of Contents:

Preface

Chapter 1

Atomic Layer Deposition for Novel Nonvolatile Memory Devices
(Ai-Dong Li, Department of Materials Science and Engineering, College of Engineering and Applied Sciences, National Laboratory of Solid State Microstructures, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing, P. R. China)

Chapter 2
Platinum Nano- Thin Film for Plasmonic Photocatalytic Reaction
(Hung Ji Huang and Bo-Heng Liu, Instrument Technology Research Center, National Applied Research Laboratories, Taiwan)

Chapter 3
Atomic Layer Deposition of Sub-Nano to Nanoscale Surface Coatings for Next-Generation Advanced Battery Systems
(Xiangbo Meng and Jeffrey W. Elam, Energy Systems Division, Argonne National Laboratory, Argonne, Illinois, USA)

Chapter 4
Theoretical Calculation on Mechanism of Thermal and Plasma-Enhanced Atomic Layer Deposition of SiO2
(Guo-Yong Fang, Li-Na Xu and Ai-Dong Li, National Laboratory of Solid State Microstructures, College of Engineering and Applied Sciences, Collaborative Innovation Center of Advanced Microstructures, Nanjing University, Nanjing, China)

Chapter 5
Fluorine Doping Behavior in Zn-Based Conducting Oxide Film Grown by Atomic Layer Deposition
(Hyung-Ho Park, Young-June Choi and Kyung-Mun Kang, Department of Materials Science and Engineering, Yonsei University, Seoul, Korea)

Index
Series:
Chemical Engineering Methods and Technology
Binding: Hardcover
Pub. Date: 2015 - 4th Quarter
Pages: 7x10 - (NBC-R)
ISBN: 978-1-63483-869-6
Status: AN

Area-Selective ALD : Conformal Coating, Subnanometer Thickness Control, and Smart Positioning

Area-Selective Atomic Layer Deposition: Conformal Coating, Subnanometer Thickness Control, and Smart Positioning

Ming Fang†§ and Johnny C. Ho*†‡§
† Department of Physics and Materials Science, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong
‡ State Key Laboratory of Millimeter Waves, City University of Hong Kong, 83 Tat Chee Avenue, Kowloon, Hong Kong
§ Shenzhen Research Institute, City University of Hong Kong, Shenzhen, 518057, P. R. China
ACS Nano, Article ASAP
DOI: 10.1021/acsnano.5b05249
 
 
Transistors have already been made three-dimensional (3D), with device channels (i.e., fins in trigate field-effect transistor (FinFET) technology) that are taller, thinner, and closer together in order to enhance device performance and lower active power consumption. As device scaling continues, these transistors will require more advanced, fabrication-enabling technologies for the conformal deposition of high-κ dielectric layers on their 3D channels with accurate position alignment and thickness control down to the subnanometer scale. Among many competing techniques, area-selective atomic layer deposition (AS-ALD) is a promising method that is well suited to the requirements without the use of complicated, complementary metal-oxide semiconductor (CMOS)-incompatible processes. However, further progress is limited by poor area selectivity for thicker films formed via a higher number of ALD cycles as well as the prolonged processing time. In this issue of ACS Nano, Professor Stacy Bent and her research group demonstrate a straightforward self-correcting ALD approach, combining selective deposition with a postprocess mild chemical etching, which enables selective deposition of dielectric films with thicknesses and processing times at least 10 times larger and 48 times shorter, respectively, than those obtained by conventional AS-ALD processes. These advances present an important technological breakthrough that may drive the AS-ALD technique a step closer toward industrial applications in electronics, catalysis, and photonics, etc. where more efficient device fabrication processes are needed.

JVSTA Most Read Atomic Layer Deposition Articles Published in 2014


Journal of Vacuum Science &
Technology A Most Read Atomic Layer Deposition Articles Published in 2014
2.322
Impact  Factor 




Reactor concepts for atomic layer deposition on agitated particles: A review
Delphine Longrie, Davy Deduytsche and Christophe Detavernier
J. Vac. Sci. Technol. A 32, 010802 (2014) |  Read More
 
Roll-to-roll atomic layer deposition process for flexible electronics encapsulation applications
Philipp S. Maydannik, Tommi O. Kääriäinen, Kimmo Lahtinen, David C. Cameron, Mikko Söderlund, Pekka Soininen, Petri Johansson, Jurkka Kuusipalo, Lorenza Moro and Xianghui Zeng
J. Vac. Sci. Technol. A 32, 051603 (2014)| Read More
 
Low sheet resistance titanium nitride films by low-temperature plasma-enhanced atomic layer deposition using design of experiments methodology
Micheal Burke, Alan Blake, Ian M. Povey, Michael Schmidt, Nikolay Petkov, Patrick Carolan and Aidan J. Quinn
J. Vac. Sci. Technol. A 32, 031506 (2014) |  Read More
 
Analytic expressions for atomic layer deposition: Coverage, throughput, and materials utilization in cross-flow, particle coating, and spatial atom ic layer deposition
Angel Yanguas-Gil and Jeffrey W. Elam
J. Vac. Sci. Technol. A 32, 031504 (2014) |  Read More
 
Atmospheric pressure spatial atomic layer deposition web coating with in situ monitoring of film thickness
Alexander S. Yersak, Yung C. Lee, Joseph A. Spencer and Markus D. Groner
J. Vac. Sci. Technol. A 32, 01A130 (2014) |  Read More
 
In situ synchrotron based x-ray techniques as monitoring tools for atomic layer deposition
Kilian Devloo-Casier, Karl F. Ludwig, Christophe Detavernier and Jolien Dendooven
J. Vac. Sci. Technol. A 32, 010801 (2014) | Read More
 
Capacitance and conductance versus voltage characterization  of Al2O3 layers prepared by plasma enhanced atomic layer deposition at  25° C < T < 200°C
Karsten Henkel, Hassan Gargouri, Bernd Gruska, Michael Arens, Massimo Tallarida and Dieter Schmeißer
J. Vac. Sci. Technol. A 32, 01A107 (2014) | Read More

Hybrid inorganic-organic superlattice structures with atomic layer deposition/molecular layer deposition
Tommi Tynell, Hisao Yamauchi and Maarit Karppinen
J. Vac. Sci. Technol. A 32, 01A105 (2014)| Read More
 
Vibration atomic layer deposition for conformal nanoparticle coating
Suk Won Park, Jun Woo Kim, Hyung Jong Choi and Joon Hyung Shim
J. Vac. Sci. Technol. A 32, 01A115 (2014) |  Read More
 
Selective atomic layer deposition of zirconia on copper patterned silicon substrates using ethanol as oxygen source as well as copper reductant
Sathees Kannan Selvaraj, Jaya Parulekar and Christos G. Takoudis
J. Vac. Sci. Technol. A 32, 010601 (2014)| Read More 

Friday, September 11, 2015

Chalmers University of Technology have developed a new way to study nanoparticles one at a time

Yet another recent innovative application using Gold! Scientists at Chalmers University of Technology have developed a new way to study nanoparticles one at a time, and have discovered that individual particles that may seem identical in fact can have very different properties. The results, which may prove to be important when developing new materials or applications such as hydrogen sensors for fuel cell cars, have been published in Nature Materials.


A single gold plasmonic nanoantenna probes the hydrogen absorption in an adjacent palladium nanocube. Illustration by Ella Marushchenko and Alex Tokarev.

– We were able to show that you gain deeper insights into the physics of how nanomaterials interact with molecules in their environment by looking at the individual nanoparticle as opposed to looking at many of them at the same time, which is what is usually done, says Associate Professor Christoph Langhammer, who led the project.



By applying a new experimental approach called plasmonic nanospectroscopy, the group studied hydrogen absorption into single palladium nanoparticles and found that particles with exactly the same shape and size may exhibit differences as great as 40 millibars in the pressure at which hydrogen is absorbed. The development of sensors that can detect hydrogen leaks in fuel cell powered cars is one example of where this new understanding could become valuable in the future.

– One main challenge when working on hydrogen sensors is to design materials whose response to hydrogen is as linear and reversible as possible. In that way, the gained fundamental understanding of the reasons underlying the differences between seemingly identical individual particles and how this makes the response irreversible in a certain hydrogen concentration range can be helpful, says Langhammer.

Others have looked at single nanoparticles one at a time, but the new approach introduced by the Chalmers team uses visible light with low intensity to study the particles. This means that the method is non-invasive and does not disturb the system it is investigating by, for example, heating it up.

– When studying individual nanoparticles you have to send some kind of probe to ask the particle ‘what are you doing?’. This usually means focusing a beam of high-energy electrons or photons or a mechanical probe onto a very tiny volume. You then quickly get very high energy densities, which might perturb the process you want to look at. This effect is minimized in our new approach, which is also compatible with ambient conditions, meaning that we can study nanoparticles one at a time in as close to a realistic environment as possible.

Interdisciplinary collaboration

The project has been a successful collaboration initiative within the Chalmers Area of Advance Nanoscience and Nanotechnology, with a strong ambition to work interdisciplinarily. It involves researchers from the groups of Christoph Langhammer, Fredrik Westerlund and Kasper Moth-Poulsen at the departments of Physics, Biology and Chemistry. The Area of Advance also funded PhD student and first author of the published paper, Svetlana Syrenova, who performed all the single particle experiments, and a postdoctoral fellow, Yuri Diaz Fernandez who developed the colloidal self-assembly process used to make the samples together with PhD student Tina Gschneidtner.

– Svetlana Syrenova has patiently done hundreds of experiments over the last three years. And though it has been tempting at times to publish the results earlier, she was always ready to give it one more try and improve things further. This was one of the keys to succeed with publishing our work in such a prestigious journal, together with the fantastic collaboration with the Moth-Poulsen group stimulated by the Area of Advance, says Langhammer. 

A new scientific paradigm

Even though they have now reached the level where their results are ready to be published, Christoph Langhammer believes they have just scratched the surface of what their discovery and developed experimental methodology will lead to in relation to further research. He hopes that they have helped to establish a new experimental paradigm, where looking at nanoparticles individually will become standard in the scientific world.

– It is not good enough to look at, and thus obtain an average of, hundreds or millions of particles if you want to understand the details of how nanoparticles behave in different environments and applications. You have to look at individual ones, and we have found a new way to do that. My own long-term vision is to apply our method to more complex processes and materials, and to push the limits in terms of how small nanoparticles can be for us to be able to measure them. Hopefully, along the way, we will gain even deeper insights into the fascinating world of nanomaterials.

TAU researcher harnesses gold nanoparticles to engineer novel biocompatible cardiac patch

After recent success by Barry Lab realizing precursors for gold ALD I see gold application appearing all the time. Here is a lifesaving recent application of gold nano particles from Tel Aviv University, Israel. 



Because heart cells cannot multiply and cardiac muscles contain few stem cells, heart tissue is unable to repair itself after a heart attack. Now Tel Aviv University researchers are literally setting a new gold standard in cardiac tissue engineering.


Picture from TUA press release 

Dr. Tal Dvir and his graduate student Michal Shevachof TAU's Department of Biotechnology, Department of Materials Science and Engineering, and Center for Nanoscience and Nanotechnology, have been developing sophisticated micro- and nanotechnological tools — ranging in size from one millionth to one billionth of a meter — to develop functional substitutes for damaged heart tissues. Searching for innovative methods to restore heart function, especially cardiac "patches" that could be transplanted into the body to replace damaged heart tissue, Dr. Dvir literally struck gold. He and his team discovered that gold particles are able to increase the conductivity of biomaterials.

In a study published by Nano Letters, Dr. Dvir's team presented their model for a superior hybrid cardiac patch, which incorporates biomaterial harvested from patients and gold nanoparticles. "Our goal was twofold," said Dr. Dvir. "To engineer tissue that would not trigger an immune response in the patient, and to fabricate a functional patch not beset by signalling or conductivity problems."

Tuesday, September 8, 2015

Semiconductor Engineering about triple and quadruple patterning after 20/16/14nm [video]

David Abercrombie, advanced physical verification methodology program manager at Mentor Graphics, talks with Semiconductor Engineering about triple and quadruple patterning after 20/16/14nm.
 

Saturday, September 5, 2015

Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride

Yet another fundamental publication from Eindhoven and Oxford Instruments on one of the most important (PE)ALD processes for scaled semiconductor devices - silicon nitride. This time Tyndall has helped them out to sort out the growth mechanism to better understand growth promotion and inhibition that has been reported previously - BTBAS Silicon nitride PEALD by TU Eindhoven, Oxford Instruments and ASM Microchemistry
 

Role of Surface Termination in Atomic Layer Deposition of Silicon Nitride

Chaitanya Krishna Ande†, Harm C. M. Knoops†‡, Koen de Peuter†, Maarten van Drunen†, Simon D. Elliott§, and Wilhelmus M. M. Kessels*†

† Department of Applied Physics, Eindhoven University of Technology, Den Dolech 2, 5600 MB Eindhoven, The Netherlands
‡ Oxford Instruments Plasma Technology, North End, Bristol BS49 4AP, United Kingdom
§ Tyndall National Institute, University College Cork, Dyke Parade, Lee Maltings, Cork, Ireland
J. Phys. Chem. Lett., 2015, 6, pp 3610–3614
DOI: 10.1021/acs.jpclett.5b01596
 



There is an urgent need to deposit uniform, high-quality, conformal SiNx thin films at a low-temperature. Conforming to these constraints, we recently developed a plasma enhanced atomic layer deposition (ALD) process with bis(tertiary-butyl-amino)silane (BTBAS) as the silicon precursor. However, deposition of high quality SiNx thin films at reasonable growth rates occurs only when N2 plasma is used as the coreactant; strongly reduced growth rates are observed when other coreactants like NH3 plasma, or N2–H2 plasma are used. Experiments reported in this Letter reveal that NHx- or H- containing plasmas suppress film deposition by terminating reactive surface sites with H and NHx groups and inhibiting precursor adsorption. To understand the role of these surface groups on precursor adsorption, we carried out first-principles calculations of precursor adsorption on the β-Si3N4(0001) surface with different surface terminations. They show that adsorption of the precursor is strong on surfaces with undercoordinated surface sites. In contrast, on surfaces with H, NH2 groups, or both, steric hindrance leads to weak precursor adsorption. Experimental and first-principles results together show that using an N2 plasma to generate reactive undercoordinated surface sites allows strong adsorption of the silicon precursor and, hence, is key to successful deposition of silicon nitride by ALD.

The ALD Hot Guys at Picosun has launched a new Picohot™ 400 source

Picosun Oy, leading supplier of industrial scale Atomic Layer Deposition (ALD) thin film coating solutions, now offers its customers an extensive range of new precursor sources especially designed for high volume manufacturing.



The now launched Picohot™ 400 source system is the latest addition to Picosun's highly sought-after product line of production-compatible high temperature sources. The Picohot™ 400 source system's ALD valves can be heated up to over 400oC to enable efficient delivery of both solid and liquid very low volatility chemicals in large doses optimal for batch processing. The source is especially suitable for metal chloride precursors, ensuring particle-free processing of e.g. hafnium oxide and many other materials on up to 300 mm wafers. The large internal volume of the source container guarantees long, continuous process uptime with effective precursor utilization and minimized number of service breaks. A separate, production-compatible purge valve speeds up the maintenance procedures even further.

Picosun's industry-scale precursor delivery solutions are further complemented by a temperature-stabilized, high volume Picosolution™ 2000 source system for up to two liters of liquid precursor chemicals.


"As the number of industrial ALD applications keeps booming there is a growing need to widen the selection of precursor chemicals. Precursors for several important production processes only exist as solids or low volatility liquids. Our leading experience in ALD system design now enables the first, true production-scale high temperature source systems designed solely based on the requirements of the ALD method and fulfilling the strictest quality standards of the semiconductor industries. We are pleased to provide our customers with these systems to make their most advanced novel products come true in high manufacturing volumes," states Mr. Juhana Kostamo, Managing Director of Picosun.

Friday, September 4, 2015

Top down, bottom up, and in-between approaches to new materials by ALD and MLD

A highly interesting review by Keith Gregorczyk and Mato Knez on Top down, bottom up, and in-between approaches to new materials by ALD and MLD. I haven´t red it yet but I will do asap!

Hybrid nanomaterials through molecular and atomic layer deposition: Top down, bottom up, and in-between approaches to new materials

Keith Gregorczyk and Mato Knez 

Progress in Materials Science, Volume 75, January 2016, Pages 1–37, Available online 11 August 2015

The ability to produce or alter materials to obtain drastically different or improved properties has been the driving goal of materials science since its inception. Combining multiple elements, compounds, or materials while maintaining the beneficial aspects of each constituent is a complex problem often involving highly interdisciplinary research. Hybrid materials, i.e. materials that incorporate organic and inorganic parts, have become popular in a variety of fields. Though not entirely new, the modern embodiment of hybrid materials has led to a large variety of new materials and techniques to produce them. One of the most recent being combination of atomic layer deposition (ALD), which produces inorganic materials, and molecular layer deposition (MLD), which produces organic materials. Furthermore, a variation on these techniques, commonly referred to as infiltration, has allowed for the modification of a variety of natural and synthetic polymers with surprising results related to their bulk mechanical properties. In this review three approaches are taken. First, hybrid materials through bottom-up combinations of ALD and MLD are reviewed, focusing on the process and properties of the resulting materials. Second, the modification of biomaterials through coating is discussed, and finally the relatively new concept of vapor phase infiltration is considered as a new and unique method to produce hybrid materials from a top down perspective.

Principles of Precursor Design for Vapour Deposition Methods

Here is a fresh and excellent publication from Barry Lab at Department of Chemistry, Carleton University on CVD and ALD precursor design.

Principles of Precursor Design for Vapour Deposition Methods

Sara E. Koponen, Peter G. Gordon, Seán T. Barry
Polyhedron, Received 6 June 2015, Accepted 24 August 2015




From the graphical abstract: Precursor design is central to the advancement of CVD and ALD technologies. These thin film deposition techniques rely on molecular precursors which have low melting points, high volatility, stability and specific reactivity. These properties are discussed through examples of group 11 and 13 precursors.

Chemical vapour deposition (CVD) and atomic layer deposition (ALD) are attractive techniques for depositing a wide spectrum of thin solid film materials, for a broad spectrum of industrial applications. These techniques rely on volatile, reactive, and thermally stable molecular precursors to transport and deposit growth materials in a kinetically controlled manner, resulting in uniform, conformal, high purity films. Developments in these fields depend on careful precursor design. We discuss the qualities that make successful CVD or ALD precursors (low melting point, high volatility, stability and specific reactivity) and the widely applicable design principles used to achieve them, through examples of group 11 and 13 precursors including amidinates, guanidinates and iminopyrrolidinates. We highlight the most valuable techniques that we use to asses potential precursors on the basis of the discussed qualities, and to elucidate relevant mechanisms of decomposition and surface reactivity. There is a strong focus on thermogravimetric analysis (TGA), and solid state (SS) and solution NMR studies.

Wednesday, September 2, 2015

TiC PEALD workfunction tuning by SK Hynix and KAIST

Here is an interesting paper on TiC PEALD workfunction tuning by SK Hynix and KAIST. They show how the workfunction of  PEALD TiC film is affected by growth temperature and a tunable WF of TiC film is compatible with a gate-first and/or gate-last process.



TiC ALD was deposited by cycling TiCl4 and TMA, in this case TMA was used as a source of carbon and H2 as a reactant gas with a plasma power of 300 W and argon as a purge gas and carrier gas.The films were characterized as MOS capacitor consisting of a TiC/SiO2/Si stack and the WF was extracted classically by having various SiO2 thicknesses.

Temperature control for the gate workfunction engineering of TiC film by atomic layer deposition

Choong-Ki Kim,  Hyun Jun Ahn, Jung Min Moon, Sukwon Lee, Dong-II Moon, Jeong Soo Park, Byung-Jin Cho, Yang-Kyu Choi, Seok-Hee Lee, 
Abstract

The effects of the deposition temperature on titanium carbide film formed by atomic layer deposition are investigated for gate workfunction (WF) engineering. As the deposition temperature increases from 250 °C to 500 °C, the WF of the TiC decreases from 5.24 eV to 4.45 eV. This WF dependency on the deposition temperature is mainly attributed to the average WF of each orientation of the sub-planes of the TiC film. An investigation of a tunable WF is conducted through Auger electron spectroscopy, transmission electron microscopy, and X-ray diffraction.

Nanocrystalline Ferroelectric BiFeO3 by Low-Temperature ALD

Joint research from Spain, Germany, UK, and USA present a low temperature process for ferroelectric BeFeO3 which opens possibilities for ferroelectric oxides on 3D substrates. BeFeO3 is a room temperature multiferroic material and due to its ferroelectric photovoltaic (FPV) effect bismuth ferrite have several applications in the field of magnetism, spintronics, photovoltaics etc.

Nanocrystalline Ferroelectric BiFeO3 Thin Films by Low-Temperature Atomic Layer Deposition

Mariona Coll*†, Jaume Gazquez†, Ignasi Fina‡§, Zakariya Khayat∥, Andy Quindeau‡, Marin Alexe§, Maria Varela⊥, Susan Trolier-McKinstry∥, Xavier Obradors†, and Teresa Puig†

† Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC), Campus UAB, 08193, Bellaterra, Catalonia, Spain
‡ Max Planck Institute of Microstructure Physics, Weinberg 2, Halle (Saale), D-06120, Germany
§ Department of Physics, University of Warwick, Coventry CV 4 7AL, United Kingdom
∥ The Pennsylvania State University, N-227 Millennium Science Complex, University Park, Pennsylvania 16802, United States
⊥ Departamento de Física Aplicada III, Instituto Pluridisciplinar, Universidad Complutense de Madrid, 28040, Madrid, Spain

Chem. Mater., Article ASAP
DOI: 10.1021/acs.chemmater.5b02093
Publication Date (Web): August 20, 2015

Abstract

In this work, ferroelectricity is identified in nanocrystalline BiFeO3 (BFO) thin films prepared by low-temperature atomic layer deposition. A combination of X-ray diffraction, reflection high energy electron diffraction, and scanning transmission electron microscopy analysis indicates that the as-deposited films (250 °C) consist of BFO nanocrystals embedded in an amorphous matrix. Postannealing at 650 °C for 60 min converts the sample to a crystalline film on a SrTiO3 substrate. Piezoelectric force microscopy demonstrates the existence of ferroelectricity in both as-deposited and postannealed films. The ferroelectric behavior in the as-deposited stage is attributed to the presence of nanocrystals. Finally, a band gap of 2.7 eV was measured by spectroscopic ellipsometry. This study opens broad possibilities toward ferroelectric oxides on 3D substrates and also for the development of new ferroelectric perovskites prepared at low temperature.

UPDATE :Technical Program for Russia ALD 2015

Her is an updated version of the Technical Program for Russia ALD 2015! As you can see Dr. Riikka Puurunen from VTT Finland totally dominate the morning of the first day! Go Riikka!

Download : http://ald-conf.ru/assets/files/technical-program_v2.pdf