Saturday, May 16, 2015

Atomic Layer Deposition of Al2O3 on NF3-pre-treated graphene

Another great publication from ALD Lab Dresden, TU Dresden, Germany, and Marcel Junige and their and scientists at Linköping University of Technology, Sweden, using high resolution in-situ ellipsometer. This time these guys have grown Al2O3 on Graphene, which is very difficult unless you activate the inert grapheme surface. Marcel did this by a NF3 pre-treatment. The work was presented at SPIE micro technologies 2015 in Barcelona.

Atomic Layer Deposition of Al2O3 on NF3-pre-treated graphene

Marcel Junige, Tim Oddoy, Rositsa Yakimova, Vanya Darakchieva, Christian Wenger, Grzegorz Lupina, Matthias Albert, Johann W. Bartha
Conference: SPIE microtechnologies 2015 : Nanotechnology VII, At Barcelona, Spain, Volume: 9519



Optical Al2O3 layer thickness in progression over the ALD process time as observed by in-situ real-time Spectroscopic Ellipsometry, comparing the ALD of Al2O3 starting on a 100 nm thermally grown SiO2 reference versus an exfoliated graphene monolayer after 180 s NF3-pre-treatment.

Graphene has been considered for a variety of applications including novel nanoelectronics device concepts such as the recently reported Graphene Base Transistor (GBT). However, the deposition of ultra-thin films on top of graphene is still challenging: On the one hand, the deposition process must not damage or alter the pristine graphene monolayer; on the other hand, the finally deposited films have to provide appropriate functional properties regarding a specific application. In case of the GBT, a dielectric coating is desired which is both pin-hole free to prevent any short circuits and still thin enough (around 3-5 nm) to enable hot electron tunneling. Hence, the dielectric film closure on graphene needs to occur at an early stage of the deposition process. Atomic Layer Deposition (ALD) has been established as a physicochemical coating technique with excellent thickness control as well as unique conformality over complex three-dimensional-shaped substrates for the last decade. Especially the ALD of oxides has been extensively researched. Accordingly, an ALD process for Al2O3 yet exists that alternates the exposure of trimethylaluminum (TMA) and water (H2O) as the organometallic precursor and co-reactant of two corresponding self-terminating surface reactions, respectively. However, the ALD of Al2O3 has been reported to barely initiate on pristine graphene due to graphene’s lack of dangling bonds. A fluorine functionalization, using XeF2, has been found to provide additional nucleation sites resulting in conformal films without pinholes. Based on this literature finding, we studied the impact of pre-treatments by nitrogen trifluoride (NF3) on exfoliated as well as epitaxial graphene monolayers prior to the ALD of Al2O3. All experiments were conducted in vacuo; i. e. the pristine graphene samples were exposed to NF3 for 180 s in the same reactor immediately before applying 30 ALD cycles and the samples were transferred between the reactor and a surface analysis unit under high vacuum conditions. The ALD growth initiation was observed by in-situ real-time Spectroscopic Ellipsometry (irtSE) with a sampling rate of 1 Hz. The chemical surface composition before and after the ALD as well as the presence of graphene after the coating procedure were revealed by in-vacuo X-ray Photoelectron Spectroscopy (XPS). The morphology of the films was determined by Atomic Force Microscopy (AFM) and Scanning Electron Microscopy (SEM). The defect status was examined by Raman Spectroscopy before and after the coating procedure. Atomic Layer Deposition of Al2O3 on NF3-pre-treated graphene. Available from: https://www.researchgate.net/publication/276242739_Atomic_Layer_Deposition_of_Al2O3_on_NF3-pre-treated_graphene [accessed May 16, 2015].

Silicon nanoneedles used to reprogram cells to develop new blood vessels

Many may wonder why we need to fabricate nano structures and nano devices and things like nano dots, nano wires and nano needles. Here is a recent paper in Nature Materials that demonstrates the use of dense array of silicon nano needles used in medicine research to reprogram cells to develop new blood vessels (thanks Wendy at www.colnatec.com for sharing this one). Just imagine the huge potential for this technology in giving blood in the future! You could just have a constant supply of fresh blood cells added to the system when needed or regenerate faster after giving blood. 

So back to why do we need nano needles in this case? First of all the average person does´t like needles at all it bloody hurts! "In cell perspective needles also do damage and models suggest that the cell membrane cannot recover if it is perforated by anything larger than 500 nm" - that´s half a micron, microtechnology, old technology - Here is a clear call for nanotechnology!

That is why Tascotti and his colleagues had to go through a pretty advanced nano patterning and structuring process flow to create arrays of silicon based nano needles as described by Materials 360 Online and seen in Figure 1 from the publication in Nature Materials below:

"To create their nanoneedles, Tasciotti and his colleagues first deposited silicon nitride onto biodegradable silicon wafers using chemical vapor deposition, and then patterned nanoneedles onto their substrate using photolithography. Next, they formed porous silicon pillars using metal-assisted chemical etching, which they then shaped into nanoneedles with reactive ion etching. Importantly, the porosity of the nanoneedles could be tailored between 45% and 70%, which allows their degradation time, payload volume, and mechanical properties to be fine-tuned. The resulting nanoneedles, which were on 8 × 8 mm2chips, were 5 μm long, 50 nm wide at the apex, and 600 nm at the base. Compared with a solid cylindrical nanowire of equivalent apical diameter, the nanoneedles had more than 300 times the surface area for payload adsorption."

Biodegradable ​silicon nanoneedles delivering nucleic acids intracellularly induce localizedin vivo neovascularization

C. Chiappini,  E. De Rosa, J. O. Martinez,  X. Liu,  J. Steele,  M. M. Stevens & E. Tasciotti

The controlled delivery of nucleic acids to selected tissues remains an inefficient process mired by low transfection efficacy, poor scalability because of varying efficiency with cell type and location, and questionable safety as a result of toxicity issues arising from the typical materials and procedures employed. High efficiency and minimal toxicity in vitro has been shown for intracellular delivery of nuclei acids by using nanoneedles, yet extending these characteristics to in vivo delivery has been difficult, as current interfacing strategies rely on complex equipment or active cell internalization through prolonged interfacing. Here, we show that a tunable array of biodegradable nanoneedles fabricated by metal-assisted chemical etching of ​silicon can access the cytosol to co-deliver DNA and siRNA with an efficiency greater than 90%, and that in vivo the nanoneedles transfect the ​VEGF-165gene, inducing sustained neovascularization and a localized sixfold increase in blood perfusion in a target region of the muscle.





Figure 1 | Porous silicon nanoneedles. a, Schematic of the nanoneedle synthesis combining conventional microfabrication and metal-assisted chemical etch (MACE). RIE, Reactive ion etching. b,c, SEM micrographs showing the morphology of porous silicon nanoneedles fabricated according to the process outlined in a. b, Ordered nanoneedle arrays with pitches of 2 μm, 10 μm and 20 μm, respectively. Scale bars, 2 μm. c, High-resolution SEM micrographs of nanoneedle tips showing the nanoneedles’ porous structure and the tunability of tip diameter from less than 100 nm to over 400 nm. Scale bars, 200 nm. d, Time course of nanoneedles incubated in cell-culture medium at 37 ◦ C. Progressive biodegradation of the needles appears, with loss of structural integrity between 8 and 15 h. Complete degradation occurs at 72 h. Scale bars, 2 μm. e, ICP-AES quantification of Si released in solution. Blue and black bars represent the rate of silicon release per hour and the cumulative release of silicon, respectively, at each timepoint, expressed as a percentage of total silicon released. Error bars represent the s.d. of 3–6 replicates. (Nature Publishing Group, License Number: 3630681325690).

ALD protected Lithium Metal Anodes with improved capacity retention

University of Maryland demonstrate atomic layer deposition (ALD) of protection layers directly on Li metal with exquisite thickness control. They show that 14 nm thick, ALD Al2O3 layer can protect the Li surface from corrosion due to atmosphere, sulfur, and electrolyte exposure. Using Li-S battery cells as a test system, an improved capacity retention using ALD protected anodes over cells assembled with bare Li metal anodes for up to 100 cycles was shown.

Next-Generation Lithium Metal Anode Engineering via Atomic Layer Deposition


Alexander C Kozen, Chuan-Fu Lin, Alexander J Pearse, Marshall A Schroeder, Xiaogang Han, Liangbing Hu, Sang Bok Lee, Gary W. Rubloff, and Malachi Noked
ACS Nano, Just Accepted Manuscript
Publication Date (Web): May 13, 2015

Lithium metal is considered the most promising anode for next-generation batteries due to its high energy density of 3840 mAhg-1. However, the extreme reactivity of the Li surface can induce parasitic reactions with solvents, contamination, and shuttled active species in the electrolyte, reducing performance of batteries employing Li metal anodes. One promising solution to this issue is application of thin chemical protection layers to the Li metal surface. Using a custom made ultrahigh vacuum (UHV) integrated deposition and characterization system, we demonstrate atomic layer deposition (ALD) of protection layers directly on Li metal with exquisite thickness control. We demonstrate as a proof of concept that a 14 nm thick, ALD Al2O3 layer can protect the Li surface from corrosion due to atmosphere, sulfur, and electrolyte exposure. Using Li-S battery cells as a test system, we demonstrate an improved capacity retention using ALD protected anodes over cells assembled with bare Li metal anodes for up to 100 cycles.

Friday, May 15, 2015

New ALD Jobs Updated 16 May

Here are some new ALD Jobs that I just found and updated the job section of the blog.



Unit Process Engineering Professional
IBMHopewell Junction, NY
May 15, 2015


Metal Process Engineering Manager
TSMC
Hsinchu, TW-HSQ
May 14, 2015

Hsinchu, Taiwan
May 14, 2015
Boston area
May 14, 2015
San Jose, Ca.
May 14, 2015
Fremont, CA
May 13, 2015
Boise, ID, US
May 11, 2015

Oak Ridge demonstrates first large-scale graphene fabrication

OAK RIDGE, Tenn., May 14, 2015 -- One of the barriers to using graphene at a commercial scale could be overcome using a method demonstrated by researchers at the Department of Energy's Oak Ridge National Laboratory.




ORNL's ultrastrong graphene features layers of graphene and polymers and is an effective conductor of electricity.

Now, using chemical vapor deposition, a team led by ORNL's Ivan Vlassiouk has fabricated polymer composites containing 2-inch-by-2-inch sheets of the one-atom thick hexagonally arranged carbon atoms.

The findings, reported in the journal Applied Materials & Interfaces, could help usher in a new era in flexible electronics and change the way this reinforcing material is viewed and ultimately used.

"Before our work, superb mechanical properties of graphene were shown at a micro scale," said Vlassiouk, a member of ORNL's Energy and Transportation Science Division. "We have extended this to a larger scale, which considerably extends the potential applications and market for grapheme."

Full Story here.

Thursday, May 14, 2015

Photek Licenses Arradiance Nanofilm Technology Portfolio to Manufacture Next Generation Photodetectors

Market acceptance of Arradiance’s breakthrough nanofilm technology continues to grow following stellar technical results from the High Energy Physics community



Photek, Ltd. of St Leonards on Sea, UK today announced that it is licensing the intellectual property of Arradiance, Inc. of Sudbury, MA for use in nanofilm microchannel plate (MCP) based imagers and detectors. Arradiance’s revolutionary nanofilm technology, covered by 11 issued US patents and several pending US and worldwide patents, has been demonstrated to be the foundational technology for the next generation photomultiplier tubes (PMT) at several high energy physics installations worldwide.

“Phototubes made using Arradiance’s nanofilm technology demonstrate an order of magnitude improvement in MCP-PMT lifetime, enabling the unsurpassed timing resolution of these devices to be used in applications which have not been open to traditional MCP-based imagers and detectors,” said Gareth Jones, MD of Photek.

"Arradiance has invested millions of dollars and years of research in the development of this nanofilm technology. We are witnessing a broad acceptance across the industry as evidenced by partners such as Photek, and Argonne National Laboratory/University of Chicago’s Large Area Picosecond Photo-Detector (LAPPD) project,” explains Ken Stenton, Arradiance CEO, “It is gratifying to see this technology begin to roll out in Photek’s outstanding products.”



Arradiance nanofilms are made possible by their proprietary recipes and unique benchtop GEMStar™ Atomic Layer Deposition (ALD) system. GEMStar employs an innovative hot-wall reactor and precursor delivery system to deposit highly uniform and repeatable films in high aspect ratio, high surface area substrates.

LAM Research MMP Technology Etch for Advanced Memory

"MMP technology enables atomic layer etching (ALE), providing extendibility and repeatability with atomic-scale fidelity"


Atomic-scale fidelity - Can you put it more beautiful?

LAM Research has developed a mixed-mode pulsing (MMP) technology that enables critical conductor etch for advanced memory like 3D NAND and DRAM. The technology is available for their Kiyo Product F Series chambers


LAM Research reports: The Kiyo F Series delivers high productivity while minimizing variability for advanced memory applications. Lam's MMP technology provides advanced profile and CD control for vertical profiles with good selectivity and tuning controls for consistent etch depths. These capabilities enable higher trim rate for 3D NAND staircase etch along with excellent repeatability, which is needed for manufacturing environments. Symmetric chamber design and radial tuning provide best-in-class uniformity, which is essential for minimizing CD variability. 

In addition, for new generations of advanced memory as well as logic devices, MMP technology enables atomic layer etching (ALE), providing extendibility and repeatability with atomic-scale fidelity.

By stacking memory cells vertically, NAND flash manufacturers can pack more storage capacity onto a smaller device, ease lithography requirements, and reduce manufacturing cost per bit. For multilayer 3D NAND structures, critical conductor etch processes include staircase etch and high aspect ratio (HAR) mask open for vertical channels. This mask open is crucial since it defines the CD and CD uniformity for subsequent etching of the vertical transistor channels. For staircase etch, equal-width "steps" are created at the edge of each dielectric-film pair throughout the 3D stack to form a staircase-shaped structure. Because of extensive repetition of these steps during device processing, etching at high throughput with stringent process control is vital. CD variability must be tightly managed since wordline contacts may otherwise miss landing on a step that is too narrow or uneven. 


Just for visualisation for the reader of this blog, here the advance HAR etch that is required and mastered by LAM MMP Technology described in a reverse engineering cross section by Chipworks from a SAMSUNG V-NAND Flash array (published here)

LAM Research further reports with respect to DRAM : For advanced DRAM devices, depth control is a key parameter, particularly for HAR front-end-of-line silicon etch applications. Concerns include aspect ratio loading, where etch rates vary because of differences in feature dimensions, and depth loading, where different etch depths may occur due to pattern density variations.

More detailed information on mixed-mode plasma pulsing (MMP) can be found in this patent by LAM Research:

Mixed mode pulsing etching in plasma processing systems US 20130168354 A1

Samsungs road from HKMG to 14 nm FinFET

Here is an excellent article describing Samsungs road from 32 nm planar high-k first HKMG technology to 14 nm FinFET published in EE Times. The whole article is based in reverse engineering from TECHINSIGHT and recent publications and patents for Samsung and Globalfoundries. According to the article Samsung has lagged behind Intel in release of process nodes. However, remarkably now shrunk the lag for its 14nm to about 6 months. This article verifies the introduction of a HfO2 ALD gate dielectric at 32 nm IBM common platform technology and the ALD cap TiN.



Samsung 32nm NMOS transistor (left) and PMOS transistor (right) from EE Times / TECHINSIGHTS.



Samsung 20nm NMOS transistor (left) and PMOS transistor (right) from EE Times / TECHINSIGHTS. The PMOS showing the use of ALD TiN Work function metal gate and an ALD TaN etch stop, an ALD TiN cap and ALD HfO2 gate oxide. 


Samsung Exynos 7420 FinFET transistors from EE Times / TECHINSIGHTS. Patterning has been down with the Samsung SAPD (Self Aligned Double Patterning) technology which most probably involves a PEALD low temperature SiO2 on resist and as can be seen an ALD High-k metal gates each wrapping around the silicon fins. In addition it is quite possible that the channel doping have been realized by solid state difusion doping by deposition P and B doped silicon oxide by ALD or PEALD and diffusing the dopants into the channel by an RTP step like described here - however this is just speculation from my side.

The 14 nm FinFET is the actual technology that you will get if you buy a Samsung Galaxy S6 today, which uses the Samsung Exynos 7420 SoC - must be one of the most fully loaded ALD enabled products on the market today. Except for the processor, there must be plenty of ALD also for sure in the 3G SDRAM and the 32 GB NAND Flash. You can read more about the teardown here by Chipworks.





Wednesday, May 13, 2015

Meaglow reduces oxygen contaminatiaon in PEALD films


Meaglow Ltd has developed a set of simple designs that have allowed the successful adoption of hollow cathode plasma sources in ALD systems, overcoming some of the limitations of the old technologies. The Meaglow solution is enabling the Next Generation of equipment solutions.

Although it was initially adopted because of the lower oxygen contamination seen in nitride materials, advantages of the HC plasma sources for other materials, including oxides, soon became evident. Higher growth rates and lower plasma damage levels being evident, but the simple design of Meaglow’s HC systems also make these a very cost effective alternative.

Below are new information on the upgrade offering from Meaglow and also a white paper on oxygen contamination reduction in PEALD films.





1. Oxygen Contamination in PE-ALD
2. Plasma Source Conversion – ICP to Hollow Cathode for Ultratech/Cambridge Fiji ALD Systems
3. Savannah thermal ALD to Hollow Cathode plasma operation


Comparison of general properties of Capacitively Coupled Plasma (CCP), Inductively Coupled Plasma (ICP), Microwave Plasma (MP) and Hollow Cathode Plasma Sources (HC).



Bilkent University’s Fiji ALD system, converted to hollow cathode plasma source operation.


Savannah 100 conversion used for improved oxide deposition for US government lab.

Tuesday, May 12, 2015

Ultra fast real-time monitoring of ALD by Ellipsometry with 1.25 Hz sampling rate

Here is a really cool paper from Marcel Junige and his co-workers at TU Dresden IHM and collaborators showing high resolution of the half reactions in ALD and real good sampling rate. Even the fastest of them all the half reaction of TMA is sampled in-situ showing the a bunch of sampling points from the steep saturation curve. Woah - only ALD Lab Dresden can pull of a thing like this!



You can connect with Marcel on Research Gate and get a free copy!
Marcel Junige, Varun Sharma, Ralf Tanner, Daniel Schmidt, Greg Pribil, Matthias Albert, Mathias Schubert, Johann W. Bartha
2015 International Conference on Frontiers of Characterization and Metrology for Nanoelectronics (FCMN), Dresden, Germany; 04/2015