Showing posts with label RASIRC. Show all posts
Showing posts with label RASIRC. Show all posts

Thursday, June 20, 2019

RASIRC Demonstrates Superior Titanium Dioxide Films by Use of Hydrogen Peroxide Gas

Company compares H2O2, H2O and O3 for dielectric ALD

San Diego, Calif – June 20, 2019 – RASIRC will discuss test results that compare hydrogen peroxide gas, ozone, and water mixtures for TiO2 ALD film growth during EuroCVD 22 Baltic ALD 16 conference in Luxembourg on June 24-28. The company is an exhibitor at the highly esteemed conference. RASIRC President and Founder Jeffrey Spiegelman will present a poster entitled, “Comparison of Titanium Dioxide ALD Films Grown with Hydrogen Peroxide, Ozone, or Water” during poster session 2 on the evening of June 27th. The poster will address the benefits of H2O2 for TiO2 film and reveal best process conditions for TiO2 ALD growth.

“Our research shows that H2O2 outperforms all other oxidants when growing low temperature TiO2 by ALD,” says Spiegelman. “This breakthrough provides a key solution for process engineers faced with the challenge of a low thermal budget.”

Professor Jiyoung Kim of University of Texas Dallas will also present a talk entitled, “Low temperature ALD of Silicon Nitride in Trench Structure: Comparing Hollow Cathode Plasma-Enhanced CVD and Thermal ALD with Hydrazine.” This work describes key advances for low temperature silicon nitride deposition utilizing RASIRC BRUTE® Hydrazine product.

EuroCVD 22-Baltic ALD 16 is part of the biennial series of European CVD conferences which started in Paris in 1977. It is one of the leading meetings for process and materials development in the world. The conference showcases forefront research addressing up-to-date challenges and state-of-the-art chemical processing from the gas phase (CVD, ALD, Energy-assisted CVD/ALD, MOVPE, RIE, ALE).

Spiegelman and additional RASIRC staff will be available throughout the event to discuss the RASIRC precursor chemistry product line-up, which includes the Peroxidizer®, BRUTE® Peroxide and more. Information about RASIRC products and company representatives will be available at the RASIRC exhibit located in Booth 6.

About RASIRC Products


RASIRC Peroxidizer provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

BRUTE Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is denser and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This enables better selectivity and less damage to metal surfaces in ASD processes.

BRUTE Hydrazine enables uniform nitride deposition for silicon and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

Additional RASIRC products include the RainMaker® Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, gap fill curing, and residual carbon removal.

About RASIRC

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box — converting common liquid chemistries into safer and reliable gas flow for most processes. RASIRC technology delivers water vapor, hydrogen peroxide gas and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. Call 858-259-1220, email info@rasirc.com or visit http://www.rasirc.com.

#####

Contacts:

RASIRC
Jeffrey Spiegelman
Phone: 858-259-1220
E-mail: jeff@rasirc.com
 

Wednesday, May 15, 2019

RASIRC Enables Low Temperature Group III Metal-Nitride Deposition

Company highlights use of a novel hydrazine source at Compound Semiconductor Week

San Diego, Calif – May 15 2019 – RASIRC will introduce a novel hydrazine delivery system for reduced temperature Group III Metal-Nitride deposition at the Compound Semiconductor Week 2019 Workshop (CSW), Nara, Japan held May 19-23. RASIRC Chief Technology Officer Dr. Daniel Alvarez will present “Enabling Low Temperature Aluminum Nitride ALD by Use of a Novel Hydrazine Source” during the event’s poster session on May 21. The poster will compare growth characteristics and film properties for TMA/Brute Hydrazine versus TMA/Ammonia.

“There is an emerging need for low temperature III-Nitride deposition in order to prevent unwanted atomic diffusion of nearby metals and metal alloys. Utilization of hydrazine is thermodynamically more favorable due to the reactive nature of the N-N bond,” says Alvarez. “Hydrazine has been available commercially for many years, but not in a pure enough form needed for semiconductor manufacturing.” 


BRUTE Hydrazine Gas Superior to Standard 'anhydrous' hydrazine, which has a water concentration ranging from 0.2-2.0%. BRUTE Hydrazine has purity levels of less than 50ppm in the liquid phase and less than 1.0ppm in the gas phase. This makes BRUTE Hydrazine purity levels comparable to semiconductor grade ammonia. A higher reactivity compared to ammonia enables lower resistivity TiN films to be deposited by ALD at lower temperatures, which is essential for High-k/Metal Gate technology and metallization barrier/seed layers by ALD (Product description, LINK).


RASIRC President and Founder Jeffrey Spiegelman adds, “The use of hydrazine will enable our customers to have larger process windows while reducing costly precursor consumption found with sources like Indium.”

CSW Workshop is a joint venue for the 46th International Symposium on Compound Semiconductors (ISCS) and the 31st International Conference on Indium Phosphide and Related Materials (IPRM). ISCS is an international conference focusing on III-V, II-VI and IV-IV semiconductors and covers the scope of a variety of compound semiconductors used in modern electronic devices. IPRM is known as the leading worldwide conference on indium phosphide and related materials, from physics to its applications.

Dr. Alvarez will be available throughout the event to discuss the RASIRC precursor chemistry product line-up, which includes hydrazine, hydrogen peroxide and more. Information about RASIRC products will also be available in the Taiyo Nippon Sanso Corporation (TNSC) exhibition stand.

About RASIRC Products

BRUTE® Hydrazine enables uniform nitride deposition for Silicon and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

BRUTE Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is more dense, and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This enables better selectivity and less damage to metal surfaces in ASD processes.

RASIRC Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

Additional RASIRC products include the RainMaker® Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications, and the HPS provides surface cleaning, preconditioning, wet thermal oxidation, and residual carbon removal.

About RASIRC RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box — converting common liquid chemistries into safer and reliable gas flow for most processes. RASIRC technology delivers water vapor, hydrogen peroxide gas, and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. Call 858-259-1220, email info@rasirc.com or visit http://www.rasirc.com.

Tuesday, April 2, 2019

RASIRC to Highlight Precise Water Vapor Delivery in Area Selective Deposition

CTO featured as industry expert on panel at upcoming ASD Workshop

San Diego, Calif – March 29, 2019 – RASIRC will discuss test results for precise delivery of water vapor at the Area Selective Deposition (ASD) 2019 Workshop on April 4-5 in Leuven, Belgium. The company, a Silver Sponsor of the workshop, will review droplet and particle measurements as well as mass delivery under a variety of conditions. The RASIRC poster entitled “Precise Control of Water Vapor Delivery for ASD” will be displayed on Thursday April 4 and Friday April 5 as part of the workshop’s poster session. Additionally, RASIRC CTO Daniel Alvarez will be a speaking on the “Critical Challenges and Research Needs for ASD” panel during the 4th ASD workshop. The panel discussion will take place on Friday April 5 from 15:00 to 16:00.

“In order for the industry to take this to a high volume commercial level, we first must have an understanding of the underlying chemical pathways associated with ASD,” says Alvarez. “It is critical to control nucleation, which is best accomplished by the control of adsorption and surface properties on an atomic level.”
 
 
ASD Workshop is an annual event sponsored by ASM and IMEC. The workshop features leading academic and industry experts in surface chemistry, new processes, metrology, fields of applications and all technology needs and challenges for ASD.

“Controlled water addition at low concentration and temperature is vital to successful selectivity in ASD processes,” said Jeffrey Spiegelman, RASIRC President and Founder. “That is why we designed a water ampoule replacement that utilizes a membrane delivery system for precise delivery of droplet-free water vapor. Our data shows that we can achieve the required mass output in a repeatable and consistent manner.”

Spiegelman and Alvarez will be available to discuss the company’s ASD product line-up, which includes hydrogen peroxide and hydrazine gas delivery in addition to water vapor.

About RASIRC Products

RASIRC RHA enables the delivery of purified water vapor into low pressure processes. The membrane delivery system separates the liquid water and its headspace from directly seeing the process vacuum. The membrane assembly allows the mass transfer of water vapor at a known diffusion rate for a given set of parameters (temperature, pressure, surface area, carrier gas flow rate).

BRUTE® Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is more dense and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This can allow for better selectivity and less damage to metal surfaces in ASD processes.

BRUTE® Hydrazine enables uniform nitride deposition for Silicon and early transition metals at low temperature. BRUTE® Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

RASIRC Peroxidizer® provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

Additional RASIRC products include the RainMaker Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, wet thermal oxidation and residual carbon removal.

Friday, November 9, 2018

RASIRC : Effective Silicon and Metal Nitride Deposition at Reduced Temperature

[RASIRC Technical Brief] Silicon and metal nitrides are extensively used in the semiconductor industry in logic and memory chip manufacturing. PEALD approaches have found success in this area but carry significant risk of poor step coverage and surface damage in 3D and HAR structures. When non-line-of-sight deposition is required, thermal ALD is the preferred solution along with co-reactants that can deposit high quality films at temperatures below 430°C.
Source: RASIRC Technical Brief (LINK)

Friday, July 27, 2018

RASIRC low temperature ALD of silicon and metal nitrides

Silicon and metal nitrides are extensively used in the semiconductor industry in manufacturing of logic and memory chips that you will find in all smartphones, laptops, PCs and internet servers as well as any IoT device. Silicon nitride (SiN) is used as dielectric insulating layers, device layers, liners, spacers, etch hardmasks, etch stops, on the wafer backside to compensate wafer bow and many additional applications. Metal nitrides such as titanium nitride (TiN) and tantalum nitride (TaN) have applications as electrodes in capacitors, high-k metal gate transistor stacks, hardmasks, metal diffusion barriers, and as liner/seed for metal contact deposition.

In particular, TiN has been shown to act as an efficient diffusion barrier to WF6 during W metal fill. Similarly, TaN is commonly utilized as a diffusion barrier to Cu on low-k insulators, as Cu can readily diffuse into insulators lowering device reliability.

Traditionally SiN has been deposited by PECVD or LPCVD but since the introduction of ALD in high volume manufacturing in 2004, many CVD processes have been replaced by ALD processes. However, in the case of thermal ALD it has proven difficult to find a silicon precursor that works adequately below 600 °C limiting use above transistor level.

Metal nitride applications that do not require low resistivity film use metal organic precursors. For example, TDMAT grown films are useful for low temperature backend processing where using metal-halide precursors, such as TiCl4, is prohibitive due to issues of metal corrosion and the need for high process temperature.

Metal halide precursors, plasmas and higher process temperatures are used to reduce film resistivity in both CVD and ALD processes. However, the general trend in semiconductor processing is to reduce the thermal budget. Therefore plasma CVD and ALD processes have been deployed.

Plasma enhanced processes have disadvantages vs thermal processes when it comes to two things in particular:
  • Relatively lower step coverage because the plasma species tend to recombine fairly fast as these travel down into deep and narrow structures 
  • Plasma damage – the plasma may damage the surface or device layer below as well as promote growth of interfacial layers
For these reasons the semiconductor industry has actively looked into alternative and more effective co-reactants to reduce temperature required to deposit high quality films. In recent years Prof. Winter and co-workers at Wayne State have presented a number of processes using novel co-reactants (LINK) and some have investigated using hydrazine or hydrazine derivatives to push down the thermal activation barrier to lower temperatures. However, any rocket scientist out there should know that hydrazine is pure rocket fuel and very dangerous to handle and therefore has a number of restrictions for import, logistics and fab safety requirements. To safely handle and facilitate hydrazine in high volume manufacturing has been a challenge taken on by RASIRC and they have proven their BRUTE® Hydrazine Technology (see details further down) for both SiN, TiN and TaN as showcased below.

Low temperature thermal ALD of silicon nitride

As mentioned above, PEALD of SiN is increasingly important to be able to grow high quality films below 400 °C but suffers from poor 3D conformality in extreme high aspect area structures and dense high surface area arrays.

RASIRC in collaboration with The University of Texas, Dallas has recently developed a low temperature thermal ALD process using the standard silicon precursor in CVD, HCDS and their new hydrazine formulation for a liquid source anhydrous hydrazine in a proprietary solvent. The technology delivers a high purity (less than 800 ppb water) into the carrier gas flow with a high vapor pressure of 12-14 Torr at R.T.. For more detail see “Titanium Nitride ALD using Ultra-high Purity Hydrazine at Low Temperature” presented at AVS 2017 in Orlando, Florida.

In a custom made ALD reactor the source was used to demonstrate thermal ALD at 250 to 400°C with high refractive index and a GPC in the range of 0.4 to 0.5 A/cycle. Further densification of the films by a novel densification treatment improved the refractive index from ~1.8 to above 1.9 (Figure 1).



Figure 1 – The ALD process window for thermal SiN ALD using HCDS and hydrazine

XPS analysis proved that the contamination level of chlorine was ~1% and oxygen in the range of 3-6%. The films were also N-rich in stoichiometry. Wet etch rate in diluted HF was as low as 0.3-0.5 nm/min and lower than PEALD SiN reference samples, indicating denser higher quality films.

Low temperature thermal ALD of titanium and tantalum nitride

In collaboration with University of California, San Diego and Applied Materials, RASIRC has also investigated thermal ALD of TaN and TiN using hydrazine as a reactive N-containing source. They have been able to show ultralow/low temperature growth for:
  • Down to 100 °C of TaN using tris(diethylamido)(tert-butylimido) tantalum (TBTDET). According to XPS nearly stoichiometric Ta3N5 films were deposited with below 10% O and 5% C incorporation (Figure 2).
  • Stoichiometric TiN films growth at 300 °C with tetrakis(dimethylamido)titanium (TDMAT). 
  • Uniform, highly conductive, nearly stoichiometric films of 0.44 nm RMS roughness were deposited using titanium tetrachloride (TiCl4) at temperatures ranging from 300-400ºC. Compared to NH3 grown films, N2H4 grown films showed lower resistivities with fewer impurities.


Figure 2 - TaNx deposition from TBTDET + N2H4. a) XPS of 100 cycles of TBTDET and N2H4 at 100ºC, 150ºC, and 300ºC. b) The Ta 4d XPS peaks after 6 pulses of TBTDET and after 15 TaNx cycles. The initial 6 pulses confirmed interfacial Si-O-Ta bond formation, while after 15 cycles an ~2eV shift is seen consistent with formation of Ta-N bonds. (c) 15 minutes of atomic H were enough to remove the carbon that was accumulating on the surface during deposition. (d) Ta 4p3/2 / N 1s region showing the N 1s component shifting and narrowing as a function of temperature.

Compared to NH3 grown films, XPS confirmed N2H4 grown films contained fewer O, C, and Cl impurities consistent with lower resistivities being observed with N2H4. The data is consistent with N2H4 serving as reducing agent and a good proton donor to Ta and Ti ligands.

BRUTE® Hydrazine Technology

BRUTE® Hydrazine provides a stable, reliable flow of anhydrous hydrazine gas from a liquid source in a sealed vaporizer. The liquid source combines hydrazine and a proprietary solvent for stability. Hydrazine gas is swept to process via the pressure gradient or by an optional carrier gas. The RASIRC BRUTE® Hydrazine Technology includes features the following (Figure 3):
  • New formulation, delivery method for ultra-dry hydrazine vapor
  • Liquid source: Anhydrous hydrazine + Proprietary solvent 
  • High purity hydrazine vapor: <800ppb water contamination 
  • Vapor pressure: 12-14 torr at R.T. 
  • Higher reactivity than NH3
Figure 3 - Novel delivery system for ultra-dry hydrazine vapor (left) and Vapor Pressure of BRUTE Hydrazine (right)

Meet RASIRC!

RASIRC are frequent active participants at ALD conferences and workshops. The next opportunity to meet them face to face is at ALD 2018 in Incheon South Korea. The company is exhibiting, presenting and has a poster session. In addition, Chief Technology Officer Dan Alvarez will be moderating a session on ALD Fundamentals. RASIRC CEO Jeff Spiegelman says, “We look forward to continuing our participation and support of the ALD community.”

















Tuesday, April 24, 2018

RASIRC to Present Anhydrous Hydrogen Peroxide Surface Preparation and Enhanced Nucleation for ASD at ASD2018

Area selective deposition is becoming increasingly important for the immense scaling effort continuously taking place in the semiconductor industry for Logic and Memory Devices. Today double and multiple pattering schemes using Plasma Enhanced ALD are in High Volume Manufacturing (HVM) for all sub 28 nm nodes and any moment now the industry expect to ramp EUV lithography, possibly at the 7 nm Foundry Node. Beyond that in a joint effort the researchers and the industry are looking for alternative patterning methods and many of them are based on so called bottom-up patterning.

To put things in perspective for ASD, one of the first area selective ALD processes in HVM was introduced in 300 mm DRAM manufacturing by Infineon Technologies in 2004 (90 nm Deep Trench DRAM presented in detail at IEDM 2004). This area selective ALD process relied on controlling the amount of hydroxyl groups in the upper part of a trench structure using the well-known TMA / H2O based process growing Al2O3. The goal was to let the process partially penetrate about 1 micron deep into very deep DRAM trenches to protect the silicon surface from a following isotropic etch that would widen the deep trench creating more surface and therefore allow a higher capacitance of the memory cell which is a key performance parameter in DRAM at about 25 fF/cell at that time.

In addition, the liner protected the collar region from dopant penetration keeping a well-defined dopant profile isolated from the wafer surface where the select transistor would later operate and it also defined a selective area for growth of Hemi-Spherical Grains (HSGs) another surface area expansion technology used in the DRAM industry. Please check the patent visualized below for many more details. This fascinating process was Self-Aligned and Area Selective in so many ways and kicked out a number of complex alternative integration paths saving a lot of $/wafer. By optimizing all process parameters it was possible to control the penetration depth of the liner, the transition region length down to the non-growth area, wafer uniformity and liner quality (density). This process was used until the end of the Deep Trench era which at this time had ~25% of the DRAM market but was killed at 65 nm when all companies had transitioned to stacked memory cells.

The Non-conformal ALD Al2O3 liner application as described in the US patent “Process for vertically patterning substrates in semiconductor process technology by means of inconformal deposition” (Figure from US7344953B2) 



Since then several things have happened. For one thing ALD has become a standard processing technology in Logic and Memory HVM forming its own Business Segment with an annual Equipment revenue >USD 1.5 Billion. Secondly, Atomic Layer Etching (ALE) has also entered HVM at the Logic 14 nm FinFET manufacturing. In parallel several efforts have begun to explore novel methods for ASD. These utilize Self-Assembled Monolayers, Patterned Photoresists, Selective CVD processes (e.g. Cobalt CVD), Plasma deposited films and other creative surface blocking agents and employing ALD and ALE in combination to trigger or block surface growth.  In parallel, reactive surfaces must be created for high nucleation and growth of metal oxide films.  An ideal surface treatment for the latter will:

                  Create high density surface functionalization
                  Have zero or minimal sub-surface oxidation
                  Lead to faster and more uniform nucleation versus H2O
                  Remain non-reactive with organic functionality or photoresist on adjacent surfaces

The use of the novel reactive chemistry, anhydrous hydrogen peroxide, has been largely ignored. This is due to: a lack of literature precedent; that H2O2 is typically delivered with H2O (multiple publications from K. Kukli et al at University of Helsinki and Tartu) where water dominates the reaction chemistry; and that only recently did this material become available by RASIRC (San Diego, USA) in an ampoule form that could be integrated into ASD process equipment.

Besides water, Ozone is an important co-reactant and oxidative precursor in ALD of metal oxides for, e.g., High-k dielectrics in DRAM Capacitors. Hydrogen Peroxide has similar oxidation properties to Ozone (oxidation potential O3 = 2.1V versus 1.8V for H2O2) while simultaneously having slightly stronger proton transfer properties than water (water pKa = 7.0 versus 6.5 for H2O2). According to Jeff Spiegelman (CEO and Founder of RASIRC) the key learning from early discoveries is the fact that H2O2 has a very weak O-O bond, where Bond Energy = 36 kcal/mole and you can imagine that it is thus much more readily available to conduct reactive surface chemistry in an ALD process than the oxygen atom in the water molecule.

RASIRC and their collaborative network of leading scientists and customers around the world have in recent years conducted exciting work with anhydrous hydrogen peroxide that demonstrates the following with regard to the required attributes for ASD:

                  Dry H2O2 creates 3-5 times higher nucleation surface density of hydroxyl groups
(-OH) versus water on metal surfaces
                  Monolayer hydroxyl (-OH) surface functionalization can be obtained by dry H2O2 on Si surfaces without sub-surface oxidation
                  Faster nucleation and growth of Al2O3  is observed utilizing dry H2O2 on Si-H surfaces versus H2O
Little to no Photoresist removal occurs from reaction with hydrogen peroxide at temperatures up to 300°C.

BRUTE Peroxide Ideal Chemistry for Area Selective Deposition yielding: High density surface hydroxylation, minimal sub-surface oxidation, faster and more uniform nucleation versus H2O, non-reactive with protecting groups on adjacent surfaces and Peroxide will grow a High Quality Metal Oxide
 

In addition, RASIRC has demonstrated that metal oxide films such as the most important ones; Aluminum oxide, Hafnium oxide, and Zirconium oxide have high quality film properties nearly identical to those grown by ozone methods.


In 3D-structures with extreme high aspect ratio (DRAM, 3DNAND) ozone will penetrate deep down the structure before reacting with the surface groups since the sticking coefficient is much smaller than H2O or preferably H2O2. This means that area selectivity employing ozone is difficult to achieve. You can imagine that Dry H2O2 would have been very beneficial back in 2004 for the non-conformal liner case described above by allowing use of a much thinner liner with higher density and therefore higher thru-put. Potentially also Dry H2O2 would allow for a sharper transition region – to be discovered!

RASIRC Chief Technology Officer Dan Alvarez will present additional details on the newly discovered reactivity of anhydrous hydrogen peroxide on several surfaces as well as outline some potential ASD pathways at AVS ASD2018, North Carolina State University, April 29 to May 1, 2018. (https://asd2018.avs.org/)

This is the 3rd time the ASD Workshop will be held. It is a fully supported AVS event and there has been a growing interest in ASD. In the future we can expect that it will form a solid business segment as ALD and ALE and bring in new players, both academic and industrial, in the exciting field of Atomic Level Processing!