Showing posts with label CMOS. Show all posts
Showing posts with label CMOS. Show all posts

Thursday, May 28, 2015

Asenov claims Nanowire transistors (NWT) favourite to succeed FinFET at 5 nm

Nanowire transistors are the most likely successor to finfets and will scale to 5nm, says Professor Asen Asenov, Professor of Electrical Engineering at Glasgow University and CEO of Gold Standard Simulations (GSS) which specialises in the predictive simulation of nano-CMOS devices including statistical variability and reliability.



”While 16/14nm FinFETs are about to enter volume production at the major foundries, the next generation transistors suitable for 7nm CMOS and below are already on the drawing board,” says Asenov, “one of the best candidates “Gate all around” transistors, better known as nanowire transistors (NWT), have superior scaling properties compared to FinFETs and can be scaled to channel lengths of approximately 5nm. ”


Mobile charge distribution in a NWT with different cross-sections suitable for 7nm CMOS technology. Due to quantum mechanical confinement effects ‘strange’ patterns determine the Source' Drain' Gate' Spacer' Channel' positions of the current flow in the nanowire cross-section (Picure from GSS).

Thursday, May 14, 2015

Samsungs road from HKMG to 14 nm FinFET

Here is an excellent article describing Samsungs road from 32 nm planar high-k first HKMG technology to 14 nm FinFET published in EE Times. The whole article is based in reverse engineering from TECHINSIGHT and recent publications and patents for Samsung and Globalfoundries. According to the article Samsung has lagged behind Intel in release of process nodes. However, remarkably now shrunk the lag for its 14nm to about 6 months. This article verifies the introduction of a HfO2 ALD gate dielectric at 32 nm IBM common platform technology and the ALD cap TiN.



Samsung 32nm NMOS transistor (left) and PMOS transistor (right) from EE Times / TECHINSIGHTS.



Samsung 20nm NMOS transistor (left) and PMOS transistor (right) from EE Times / TECHINSIGHTS. The PMOS showing the use of ALD TiN Work function metal gate and an ALD TaN etch stop, an ALD TiN cap and ALD HfO2 gate oxide. 


Samsung Exynos 7420 FinFET transistors from EE Times / TECHINSIGHTS. Patterning has been down with the Samsung SAPD (Self Aligned Double Patterning) technology which most probably involves a PEALD low temperature SiO2 on resist and as can be seen an ALD High-k metal gates each wrapping around the silicon fins. In addition it is quite possible that the channel doping have been realized by solid state difusion doping by deposition P and B doped silicon oxide by ALD or PEALD and diffusing the dopants into the channel by an RTP step like described here - however this is just speculation from my side.

The 14 nm FinFET is the actual technology that you will get if you buy a Samsung Galaxy S6 today, which uses the Samsung Exynos 7420 SoC - must be one of the most fully loaded ALD enabled products on the market today. Except for the processor, there must be plenty of ALD also for sure in the 3G SDRAM and the 32 GB NAND Flash. You can read more about the teardown here by Chipworks.





Saturday, April 25, 2015

Chinese and US researchers dope & un-dope graphene FETs by ALD

Despite the tremendous world wide focus on the wonder material graphene, its pristine form can't be used in field-effect transistors (FETs) to replace current channel materials (Si, SiGe, III/V) between the source and drain suffer from the absence of a bandgap.

Here reseraches are seeking  to chemically modify or dope grapheneto open up a  band gap in the material. However, the carbon atoms in graphene are arranged in a two-dimensional sp2 hybridization surface, which makes it almost impossible to induce any chemical modification or doping without alteration of its idealized properties.

Finally, in order to form a super fast CMOS logic based on ultra fast graphene FETs (GFET) you need to be able to dope the GFETs in to NMOS and PMOS transistores and it has been proven very difficult to produce a stable n-type graphene transistors than its p-type counterpart.

A team of Chinese and US researchers [1, 2, 3, 4] have developed a simple method to produce n-type doping of graphene by using an ALD chamber. That is not all - the mechanism is reversible, meaning they can bring back graphene to p-type by a thermal anneal step. The main mechanism of n-type doping is driven by a surface charge transfer at graphene/redox interfaces during the ALD processing of Al2O3. Fantastic - Check out the details in the publication below!


Reversible n-Type Doping of Graphene by H2O-Based Atomic-Layer Deposition and Its Doping Mechanism
Li Zheng, Xinhong Cheng, Zhongjian Wang, Chao Xia, Duo Cao, Lingyan Shen, Qian Wang, Yuehui Yu, and Dashen Shen
J. Phys. Chem. C, 2015, 119 (11), pp 5995–6000
DOI: 10.1021/jp511562t





The pre-H2O treatment and Al2O3 film growth under a two-temperature-regime mode in an oxygen-deficient atomic layer deposition (ALD) chamber can induce n-type doping of graphene, with the enhancement of electron mobility and no defect introduction to graphene. The main mechanism of n-type doping is surface charge transfer at graphene/redox interfaces during the ALD procedure. More interestingly, this n-type doping of graphene is reversible and can be recovered by thermal annealing, similar to hydrogenated graphene (graphane). This technique utilizing pre-H2O treatment and an encapsulated layer of Al2O3 achieved in an oxygen-deficient ALD chamber provides a simple and novel route to fabricate n-type doping of graphene. (From grapfical abstract J. Phys. Chem. C, 2015, 119 (11), pp 5995–6000)

[1] State Key Laboratory of Functional Materials for Informatics
[2] Shanghai Institute of Microsystem and Information Technology, Chinese Academy of Sciences, Shanghai 200050, China
[3] University of Chinese Academy of Sciences, Beijing 100049, China
[4] University of Alabama in Huntsville, Huntsville, Alabama 35899, United States
 

Friday, April 3, 2015

VIDEO - How does a transitor work?

Here is a great video for education or just for fun on how a transitor works by Veritasium



Screendump from the video involving Swiss cheese...
 
Here the video link to Youtube:



Monday, February 2, 2015

At 7nm Silicon giving way to Ge, III-IV, CNT and Graphene

In 1950s, when industry has moved from vacuum-tube diodes and triodes to solid-state diodes and transistors, electronics device researchers have selected Germanium as their semiconductor material. Early solid state diodes and bipolar junction transistors were made using Germanium material. But quickly Germanium replaced with silicon. In today's complementary metal–oxide–semiconductor (CMOS) digital integrated circuits, silicon is used near 100%. Now with the geometries of MOSFET shrinking further down the 14/10 nm, the performance of silicon as MOSFET channel material is questionable, with limitations in frequency of switching, and even the switch itself is erroneously operating. Well the future can be called post-silicon era, where the industry is moving from microelectronics to nanoelectronics/photonics.


IBM said in one of its release "Their (latest Si chips) increasingly small dimensions, now reaching the nanoscale, will prohibit any gains in performance due to the nature of Silicon and the laws of physics. Within a few more generations, classical scaling and shrinkage will no longer yield the sizable benefits of lower power, lower cost and higher speed processors that the industry has become accustomed to."

In the immediate future, the transition into <7nm is basically moving into non-Silicon CMOS switching, EUV lithography and increased on-chip photonics, a combination of control of electrons and photon flow in single integrated device. The 3D growth of structures will be more prominent.

Full article: At 7nm Silicon giving way to Ge, III-IV, CNT and Graphene : http://www.eeherald.com/section/news/onws20150111001a.html

Saturday, June 7, 2014

WODIM 2014, the 18th Workshop on Dielectrics in Microelectronics, 9-11 June 2014 in Kinsale Cork Ireland.

Coming up next week - The 18th Workshop on Dielectrics in Microelectronics, which takes place from 9-11 June 2014 in Kinsale Co Cork Ireland. This event is hosted by Tyndall National Institute, UCC, Cork, and celebrates the 10th anniversary of the last time the workshop was held in Ireland.
 
 
The main objective of the workshop is to bring together specialists who work in the field of dielectrics and all aspects of their application in the field of micro and nanoelectronics. The forum is intended to provide an overview of the state of the art in this significant field, and to promote a relatively informal atmosphere for the discussion of the latest research results, where contributions from students are particularly encouraged. The workshop deals with a range of issues in the field of advanced and new dielectrics, such as: growth and deposition, modelling and simulation, physical and electrical properties, reliability and dielectric applications.
 
 
 Kinsale, Co Cork, Ireland, in one of the most beautiful coastal towns in Ireland.
 
One of the more interesting talks will be on Tuesday ;-)

09.40 “Fluorine Interface Treatments within the Gate Stack for Defect Passivation in 28nm HKMG Technology”

M. Drescher1, E. Erben2, M. Trentzsch2, C. Grass2, M. Hempel2, A. Naumann1, J. Sundqvist1, J. Schubert3, J. Szillinski3, A. Schäfer3, S. Mantl3

1 Fraunhofer IPMS-CNT, Königsbrückerstraße 180, 01099 Dresden, Germany, 2 Globalfoundries,
Wilschdorfer Landstraße 101, 01109 Dresden, Germany, 3 Forschungszentrum Jülich, Wilhelm-Johnen-Straße, 52428 Jülich, Germany
 

Sunday, May 25, 2014

Integration of thulium silicate for enhanced scalability of HKMG CMOS technology

A very interesting fresher than fresh PhD Thesis from Royal Institute of Technology (KTH), Sweden on the Integration of thulium silicate for enhanced scalability of high-k/metal gate CMOS technology. The ALD processing in this work has been preformed in a  Beneq TFS 200 ALD system - a crossflow-type 200mm hot-wall reactor. The public defense will take place on 27 May 2014 at 10.00 a.m. in Sal D, Forum, Kungliga Tekniska Högskolan, Isafjordsgatan 39, Kista. - Best of luck!
 

Left, the process flow and right a TEM cross-section of the TmSiO/HfO2/TiN gate stack implemented in gate-last MOSFETs. (From the thesis below)


Integration of thulium silicate for enhanced scalability of high-k/metal gate CMOS technology
EUGENIO DENTONI LITTA
Doctoral Thesis in Information and Communication Technology, Stockholm, Sweden 2014

Abstract: High-k/metal gate stacks have been introduced in CMOS technology during the last decade in order to sustain continued device scaling and ever improving circuit performance. Starting from the 45nm technology node, the stringent requirements in terms of equivalent oxide thickness and gate current density have rendered the replacement of the conventional SiON/poly-Si stack unavoidable. Although Hf-based technology has become the de facto industry standard for high-k/metal gate MOSFETs, problematic long-term scalability has motivated the research of novel materials and solutions to fulfill the target performances expected of gate stacks in future technology nodes. In this work, integration of a high-k interfacial layer has been identified as the most promising approach to improve gate dielectric scalability, since this technology presents the advantage of potential compatibility with both current Hf-based and plausible future higher-k materials. Thulium silicate has been selected as candidate material for integration as interfacial layer, thanks to its unique properties which enabled the development of a straightforward integration process achieving well-controlled and repeatable growth in the sub-nm thickness regime, a contribution of (0.25 ± 0.15)nm to the total EOT, and high quality of the interface with Si. Compatibility with industry-standard CMOS integration flows has been kept as a top priority in the development of the new technology. To this aim, a novel ALD process has been developed and characterized, and a manufacturable process flow for integration of thulium silicate in a generic gate stack has been designed. The thulium silicate interfacial layer technology has been verified to be compatible with standard integration flows, and fabrication of high-k/metal gate MOSFETs with excellent electrical characteristics has been demonstrated. The possibility to achieve high performance devices by integration of thulium silicate in current Hf-based technology has been specifically demonstrated, and the TmSiO/HfO2 dielectric stack has been shown to be compatible with the industrial requirements of operation in the sub-nm EOT range (down to 0.6nm), reliable device operation over a 10 year expected lifetime, and compatibility with common threshold voltage control techniques. The thulium silicate interfacial layer technology has been especially demonstrated to be superior to conventional chemical oxidation in terms of channel mobility at sub-nm EOT, since the TmSiO/HfO2 dielectric stack achieved 20% higher electron and hole mobility compared to state-of-the-art SiOx/HfO2 devices at the same EOT. Such performance enhancement can provide a strong advantage in the EOT-mobility trade-off which is commonly observed in scaled gate stacks, and has been linked by temperature and stress analyses to the higher physical thickness of the high-k interfacial layer, which results in attenuated remote phonon scattering compared to a SiOx interfacial layer achieving the same EOT.